CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 移位寄存器

搜索资源列表

  1. uart766

    0下载:
  2. ---实现的部分VHDL 程序如下。   --- elsif clk1x event and clk1x = 1 then ---if std_logic_vector(length_no) >= “0001” and std_logic_vector(length_no) <= “1001” then -----数据帧数据由接收串行数据端移位入接收移位寄存器---rsr(0) <= rxda --- rsr(7 downto 1) <= rsr(6 down
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:157261
    • 提供者:766
  1. IO74LS164

    0下载:
  2. I0口驱动74LS164,8位同步移位寄存器,将data_buf的数据逐位输出到simuseri_DATA
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:894
    • 提供者:藏医生
  1. 电子拔河

    0下载:
  2. 电子拔河游戏的实现, 二极管,移位寄存器和计数器的实现-electronic game of tug-of-war to achieve, diodes, shift register and counter the realization
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:21940
    • 提供者:jojo
  1. VHDL1

    0下载:
  2. 移位寄存器和9人表决器电路的VHDL设计方案-Shift register people to vote and 9 of VHDL circuit design
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:1357
    • 提供者:asd
  1. spartan6_fpga_blockram_user_guide

    0下载:
  2. Spartan6 FPGA中的块存储器使用指南,可以构建为FIFO,ROM,RAM,移位寄存器等。-Spartan6 FPGA block memory in the User Guide, you can build for FIFO, ROM, RAM, shift registers and so on.
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:376923
    • 提供者:james
  1. tongREG

    0下载:
  2. 桶型移位寄存器,用于寄存器的移位,用vhdl编写 -Barrel shift register for shift register, with write vhdl
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:606
    • 提供者:窦龙
  1. chuanxing

    0下载:
  2. 串行通信MCS-51单片机内部有一个全双工的串行通信口,即串行接收和发送缓冲器(SBUF),这两个在物理上独立的接收发送器,既可以接收数据也可以发送数据。但接收缓冲器只能读出不能写入,而发送缓冲器则只能写入不能读出,它们的地址为99H。这个通信口既可以用于网络通信,亦可实现串行异步通信,还可以构成同步移位寄存器使用。-MCS-51 microcontroller serial communication within the a full-duplex serial communication
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:16437
    • 提供者:王杰杰
  1. 1

    0下载:
  2. 掌握各类触发器、移位寄存器的触发方式、逻辑功能及原理-Master various types of triggers, trigger the shift register, logic functions and principles
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:149914
    • 提供者:mac
  1. 111

    0下载:
  2. 用GAL16V8设计可变长度序列信号发生器,在掌握伪随机序列性质的基础上,设计给定长度的伪随机序列信号发生器,也即设计给定n后(n为移位寄存器的级数)最长线性反馈移位寄存器序列。并在给定n产生的最长序列的基础上,截短出课题给出的序列长度,并用FM软件对可编程器件GAL16V8进行编程,以实现长度不同的序列信号发生器。-GAL16V8 design variable-length sequence signal generator based on the master pseudo-random
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:392601
    • 提供者:乔嘉麒
  1. register

    0下载:
  2. 基于LPM的左右移位寄存器的层次法设计,一份内容很全面的手册。-TPM about a shift register AHP-based design, a very comprehensive manual.
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2163428
    • 提供者:木子
  1. JICHUNQI

    0下载:
  2. 使用labview移位寄存器,求解函数平均数问题,其中利用常用的数值函数-Using labview shift register, average problem solving function, which make use of the commonly used numerical function
  3. 所属分类:Software Testing

    • 发布日期:2017-05-04
    • 文件大小:9579
    • 提供者:张家
搜珍网 www.dssz.com