CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - Verilog HDL

搜索资源列表

  1. VerilogHDLshejifengpingqihe32weijishuqi

    0下载:
  2. 本文件介绍的是用VerilogHDL语言设计分频器和32位计数器.-This paper presents the design using Verilog HDL language Frequency Divider and 32 counters.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:159000
    • 提供者:少华
  1. Verilog

    0下载:
  2. 是摩托罗拉关于Verilog HDL的开发规范,相信对于学习Verilog程序设计的人会有很大的帮助-Motorola on the development of Verilog HDL specification, I believe that learning Verilog for programming will be of great help to people
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:221284
    • 提供者:
  1. fre_ctrl

    0下载:
  2. 利用verilog语言,从上至下层次管理的设计思想;Verilog HDL的行为描述和结构描述,实现8位频率计,4个0检测修正电路的原理说明-The use of Verilog language, top-down hierarchical management design idea Verilog HDL descr iption of the behavior and structure of a descr iption of the realization of frequency
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:14207
    • 提供者:黎明
  1. verilog

    0下载:
  2. 讲述的是verilog HDL 的一些实际应用与联系。还宝库奥一些总结性的知识。-About the verilog HDL and contact some of the practical application. Treasure-house of Austria is also a number of conclusive knowledge.
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:368355
    • 提供者:陈寄圆
  1. i2c.tar

    0下载:
  2. i2c core for verilog hdl
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:647594
    • 提供者:mona
  1. i2c_customer_pack

    0下载:
  2. i2c core for verilog hdl
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:514631
    • 提供者:mona
  1. VerilogHDL_tuxiang

    0下载:
  2. 介绍一种用于卫星姿态测量的CMOS图像敏感器--STAR250的时序驱动信号,并使用Verilog HDL语言设计驱动时序电路。经布线、仿真、测试后验证了驱动信号的正确性。 -Introduce a measurement for the satellite attitude CMOS image sensor- STAR250 timing drive signals, and use the Verilog HDL language design-driven sequential circ
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:106734
    • 提供者:zhaox
  1. FPGAdeguangshanjiancejishu

    0下载:
  2. 本文档设计了1光栅位移传感器信号的接收、光栅位移传感器信号的整形及电平转换电路设计,用Verilog HDL描述了锁相倍频细分和零位信号处理电路。利用FPGA实现光栅位移系统与上位机接口的电路原理框图-This document designed a grating displacement sensor signal reception, grating displacement sensor signal shaping and level conversion circuit design
  3. 所属分类:Project Design

    • 发布日期:2017-05-03
    • 文件大小:1329029
    • 提供者:于小微
  1. fft_fpga

    0下载:
  2. FFT(快速傅里叶变化)蝶形算法 Verilog HDL语言-FFT Verilog HDL
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:704103
    • 提供者:李云龙
  1. verilog-traffic-light

    0下载:
  2. 基于VerilogHDL设计的交通灯控制系统本设计利用Verilog HDL 语言、采用层次化混合输入方式,可控制4个路口的红、黄、绿、左转四盏信号灯,让其按特定的规律进行变化。 -This design using Verilog HDL language, adopt hierarchical mixed input method, four intersection control of red, yellow, green, left four lamp lights, let its
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:98255
    • 提供者:镶牙另
  1. A-Verilog-HDL-Test-Bench-Primer

    0下载:
  2. 学习资料:详细说明了如何用Verilog语言编写Testbench文件-Learning materials: detailed descr iption of how to use Verilog language Testbench file
  3. 所属分类:software engineering

    • 发布日期:2017-03-23
    • 文件大小:57818
    • 提供者:
  1. verilog-hdl

    0下载:
  2. 王金明:《Verilog HDL 程序设计教程》,包括Verilog HDL的程序,对于初学者有一定的帮助-Wang Jinming: Verilog HDL programming tutorial, including Verilog HDL program, help for beginners
  3. 所属分类:software engineering

    • 发布日期:2017-11-11
    • 文件大小:161242
    • 提供者:James
  1. Verilog-HDL

    0下载:
  2. 重点介绍verilog VHL语言结构及使用方法-Highlights verilog VHL language structure and use
  3. 所属分类:Project Design

    • 发布日期:2017-12-06
    • 文件大小:140249
    • 提供者:王刚
  1. lab-1-ALU-design-with-Verilog-HDL

    0下载:
  2. cpu设计的运算器部分verilog代码,实验资料,包括原理和代码,在modelsim仿真通过-CPU design arithmetic unit part of the verilog code, experimental data, including the principle and code, through the modelsim simulation
  3. 所属分类:Project Design

    • 发布日期:2017-11-07
    • 文件大小:19554
    • 提供者:张明明
  1. verilog-uart

    1下载:
  2. UART(Universal Asynchronous Receiver Transmitter,通用异步收发器)是广泛使用的异步串行数据通信协议。下面首先介绍UART硬件接口及电平转换电路,分析UART的传输时序并利用Verilog HDL语言进行建模与仿真,最后通过开发板与PC相连进行RS-232通信来测试UART收发器的正确性。-UART (Universal Asynchronous Receiver Transmitter, Universal Asynchronous Receive
  3. 所属分类:Software Testing

    • 发布日期:2016-11-15
    • 文件大小:117760
    • 提供者:李科
  1. Advanced-Digital-Design-with-the-Verilog-HDL-1st-

    0下载:
  2. Advanced Digital Design with the Verilog HDL 1st Ed. solution manual by Ciletti
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:1070286
    • 提供者:Kumaran K
  1. Freescale-Verilog-HDL-Coding

    0下载:
  2. 飞思卡尔verilog语言编程规范文件,很有借鉴意义-Freescale verilog programming language specification file,Great reference
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:187763
    • 提供者:
  1. verilog-ieee

    0下载:
  2. The Verilog ¤ Hardware Descr iption Language (HDL) is defined in this standard. Verilog HDL is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine readable and human readable, it
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2176585
    • 提供者:bkaraca
  1. verilog-hdl(VIA-COMPANY-DOCUMENTS)

    0下载:
  2. verilog hdl学习 威盛内部资料-verilog hdl language(VIA reference document)
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2052800
    • 提供者:sun shuo
  1. Verilog--exzampie

    0下载:
  2. Verilog的大量代码,拿去好好学习吧-verilog hdl exzamples
  3. 所属分类:software engineering

    • 发布日期:2017-04-30
    • 文件大小:114113
    • 提供者:gaoguanghui
« 12 3 4 5 »
搜珍网 www.dssz.com