CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - make

搜索资源列表

  1. adr_rd_cnt

    0下载:
  2. this program generate an address for reading data from RAM that this address make from a write Addre-this program generate an address for reading data from RAM that this address make from a write Address
  3. 所属分类:Project Design

  1. B01AB525d01

    0下载:
  2. The problem of efficient data structures for IP lookups has been well studied in literature. Techniques such as LC tries and Extensible Hashing are commonly used. In this paper, we address the problem of generalizing LC tries and Extensible H
  3. 所属分类:software engineering

    • 发布日期:2017-03-25
    • 文件大小:147024
    • 提供者:asad2001
  1. tetris

    1下载:
  2. Our project is to design and implement a Tetris game by using FPGA. Tetris a puzzle game that uses 4 square blocks joining edge to edge to form various combinations of shapes. There are 7 unique shapes. The shapes are controlled with the arrow keys f
  3. 所属分类:Project Design

    • 发布日期:2015-12-20
    • 文件大小:5136
    • 提供者:krishna
  1. FPGA_ep1c3-144-core

    1下载:
  2. 用PROTEL软件设计的FPGA最小系统板。FPGA的型号为EP1C3-144,需要制作最小系统板的可以参考一下。-PROTEL software design of the FPGA with the minimum system board. FPGA-model EP1C3-144, need to make the minimum system board that can be reference.
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:162529
    • 提供者:shuaige
  1. BmpMenu

    0下载:
  2. 如何在自己的程序的菜单栏实现位图的图标的,使自己的程序的菜单更形象,更生动!-How to program your own Bitmap menu bar icon, and make their program' s menus are more images, more vivid!
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:100516
    • 提供者:athemon
  1. IntegrationofSystem-On-ChipSimulationModels

    0下载:
  2. SOC仿真模型的整合 丹麦技术大学 硕士论文 通过SystemC来建立SOC仿真模型-Integration of System-On-Chip Simulation Models Technical University of Denmark Master Thesis Reaching deep sub-micron technology within the near future makes it possible to implement comple
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:698755
    • 提供者:Archie
  1. simplex_wireless_calling_system

    0下载:
  2. 单工无线呼叫系统分发射和接收两大部分。发射部分采用锁相环式频率合成器技术, MC145152和MC12022芯片组成锁相环,将载波频率精确锁定在35MHz,输出载波的稳定度达到4×10-5,准确度达到3×10-5,由变容二极管V149和集成压控振荡器芯片MC1648实现对载波的调频调制;末级功放选用三极管2SC1970,使其工作在丙类放大状态,提高了放大器的效率,输出功率达到设计要求。接收部分以超大规模AM/FM立体声收音集成芯片CXA1238S为主体,灵敏度、镜像抑制、信噪比等各项性能指标均达
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:626180
    • 提供者:周宇
  1. calibration

    0下载:
  2. 摄像机标定文章,值得我们做机器视觉的人深刻理解并学习-Camera calibration articles, worthy of our people who make a profound understanding of machine vision and learning
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:155701
    • 提供者:蔡慧敏
  1. geneticalgorithm

    0下载:
  2. 基于遗传算法的标定文献,希望对大家有用,我以后会上传更多的有用资料,大家共同进步-Calibration based on genetic algorithms literature, hope for all of us, I' ll be uploading more useful information, we make progress together
  3. 所属分类:software engineering

    • 发布日期:2017-04-15
    • 文件大小:8354
    • 提供者:蔡慧敏
  1. zhiliangruanjianguan

    0下载:
  2. 为了使软件具有持续稳定的质量,我们必须对生产软件及为其提供服务的过程进行控制。然而,要是没有可靠的信息,对一个过程持续一致的地进行控制就无从谈起。经历的步骤,希望能起到一个抛砖引玉的作用,软件企业实际实施CMM时,可以根据自身的实际情况和具体要求加以应用。-In order to make the software has a sustained and stable quality, we have to produce software and provide services to the
  3. 所属分类:software engineering

    • 发布日期:2017-04-15
    • 文件大小:7806
    • 提供者:mashm
  1. 50_TS_cc_man

    0下载:
  2. visual DSP++编程优化手册。该手册的目的就是使你的c程序更加有效。-visual DSP++ programming optimization manual. The purpose of this manual is to make your c program more effective.
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2323710
    • 提供者:子由
  1. ChangeView

    0下载:
  2. 显示不同界面,右键菜单,带有检查框的树控件的应用-By clicking on the list item to show the different interfaces. Make a friend of the interface would be helpful.
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:44485
    • 提供者:逍遥客
  1. VBCSHARP

    0下载:
  2. This document give brief idea to the developers and help them to choose C# or VB which both are supported by Microsoft .Net platform. One this document is read one can make focus on the technology suites their ski-This document give brief idea to the
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:50101
    • 提供者:dillipaa
  1. hangkongdingpia

    0下载:
  2. 采用链式存储结构实现以下任务。 1. 航空客运订票的业务活动包括:查询航线、客票预定和办理退票等。试设计一个航空客运订票系统,以使上述业务可以借助计算机来完成。要求: (1)每条航线所涉及的信息有:终点站名terminus、航班号flight_numbe、飞机号plane_number、飞行日期year, month, day、乘员定额ration、余票量remaining、已订票的客户名单roll(包括姓名name、订票量ticket_capacity)、已订票的客户数roll_num
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:2258
    • 提供者:啊根
  1. 3pi_wall_follower111

    0下载:
  2. The 3pi robot [http://www.pololu.com/catalog/product/975] is complete mobile platform designed to excel in linefollowing and maze-solving competitions. The 3pi has user accessible I/O lines that can be connected to different sensors to expand its
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:489921
    • 提供者:阿阿
  1. UMLRationalRoseTranning

    0下载:
  2. UML和Rational+Rose内部培训教程.对做软件工程的朋友一定有用-UML and the Rational+ Rose in-house training tutorials. To make friends of some useful software engineering
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:774752
    • 提供者:king_2000
  1. DRPproject

    0下载:
  2. PDP手把手的教会你如何制作分销商库存管理系统设计。-PDP hands-on teach you how to make a distributor inventory management system design.
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:331506
    • 提供者:long
  1. ceshi

    0下载:
  2. 给从事测试的初学者提供一些帮助,可以让你更好的了解测试的重要性!-To engage in testing to provide some help for beginners, you can make you a better understanding of the importance of testing!
  3. 所属分类:Software Testing

    • 发布日期:2017-05-03
    • 文件大小:1438347
    • 提供者:陈安
  1. softwarecouputer

    0下载:
  2. 关掉不用的服务让电脑飞起来,使系统运行更快,节省空间。-Turn off unused services, so that the computer off, make the system run faster and save space.
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:117941
    • 提供者:杨枫
  1. SerKoWordPad_CODE

    0下载:
  2. 用VB寫出來的WordPad . 具有多種正常Word Pad的功能 . 用了1星期來編寫 .-Use Visual Basic 6.0 to make WordPad.
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:85027
    • 提供者:ngaiyi
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 42 »
搜珍网 www.dssz.com