CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 技术管理 搜索资源 - 电路设计

搜索资源列表

  1. CCDQJDTXPJ

    0下载:
  2. 学位论文《CCD器件的特性评价及其驱动和数据采集电路设计》-graduate thesis on "the characteristics of CCD Evaluation and drive and data acquisition circuit design"
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:4251921
    • 提供者:赵杰伊
  1. EMCdesignrecipe

    1下载:
  2. 电路设计中的EMC注意事项,以及PCB设计中EMC的抑制办法
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:482457
    • 提供者:haur
  1. 英文电路设计资料

    0下载:
  2. 几篇有关电路设计的英文设计文档,里边对电路设计方法有新颖的描述,相信对电路设计工作者有很大助益
  3. 所属分类:技术管理

  1. FSK发射电路设计

    0下载:
  2. 介绍FSK发射电路设计
  3. 所属分类:技术管理

    • 发布日期:2011-06-17
    • 文件大小:382005
    • 提供者:xuanjun11
  1. 高速AD转换芯片TLC5510中文资料

    0下载:
  2. 高速AD转换芯片,TLC5510中文资料,里面有详细的电路设计和芯片功能介绍,,AD conversion high-speed chips, TLC5510 Chinese data, there are detailed circuit design and chip function, the
  3. 所属分类:技术管理

    • 发布日期:2016-01-25
    • 文件大小:678214
    • 提供者:
  1. HuaWei_PCB_Design.rar

    0下载:
  2. 华为PCB设计规范:内容全面,规则详细,内附简单示例,简单易懂容易上手,实在经典!适合学习Altiun Designer的初学者,掌握电路设计基本规则。,Huawei PCB design specifications: a comprehensive and detailed rules, containing a simple example, simple easy to use, it is a classic! Altiun Designer for the beginners to
  3. 所属分类:Project Manage

    • 发布日期:2017-03-27
    • 文件大小:359149
    • 提供者:cxsys
  1. Altium元件库大全

    2下载:
  2. Altium Designer元件库大全,对于电路设计的同学来说,是很实用的。
  3. 所属分类:技术管理

    • 发布日期:2012-10-21
    • 文件大小:9638251
    • 提供者:zcj0456
  1. s3c2440

    1下载:
  2. S3C2440周边电路设计及应用,包括串口、网口、usb、系统总线、lcd、coms摄像头、gpio等。-S3C2440 peripheral circuit design
  3. 所属分类:Project Manage

    • 发布日期:2016-01-27
    • 文件大小:159976
    • 提供者:runcn
  1. CO2_SENSOR

    1下载:
  2. 基于红外传感器的CO2气体检测电路设计,文章详细介绍了红外CO2传感器的特性,内含电路原理图,以及程序流程图,需要的朋友可以看看.-Based on the infrared sensors of CO2 gas detection circuit design, the article introduced in detail the characteristics of infrared CO2 sensor, including circuit schematics, as well as
  3. 所属分类:Project Manage

    • 发布日期:2017-03-29
    • 文件大小:67845
    • 提供者:Davinci
  1. Mifare

    0下载:
  2. 非接触式IC卡(也叫射频卡)目前已经在各行各业得到广泛的应用,其中PHILIPS公司的Mifare卡在射频卡市场占有主要份额。作为一门高新科技, Mifare卡应用系统涉及天线设计,电路设计,单片机编程,PC接口技术,数据库应用和软件工程等跨学科综合。本课题为一合作课题,共由下位机开发和上位机开发两大部分组成。本人负责上位机部分,将尝试使用大学所学课程之知识完成一个初步的Mifare读卡器上位机程序开发。它由数据库,数据显示管理模块,上位机通信接口几部分组成。而另外的下位机部分使用51型号单片机
  3. 所属分类:Project Manage

    • 发布日期:2017-03-25
    • 文件大小:121594
    • 提供者:张宾
  1. lm1117

    0下载:
  2. LM1117电源芯片应用资料 电路设计接收 1117芯片使用介绍-LM1117
  3. 所属分类:Project Manage

    • 发布日期:2017-03-29
    • 文件大小:436103
    • 提供者:为茶如水
  1. the-Zener-diode-

    0下载:
  2. 对于齐纳二极管特性的分析,非常详细,电路设计必备-Very detailed analysis of the characteristics of the Zener diode circuit design necessary
  3. 所属分类:Project Manage

    • 发布日期:2017-04-07
    • 文件大小:24651
    • 提供者:王耳
  1. 3518037833959

    0下载:
  2. ise应用如何用ISE做数字逻辑电路设计-ise application
  3. 所属分类:Project Manage

    • 发布日期:2017-12-04
    • 文件大小:3121952
    • 提供者:tianfeng
  1. FPGA-data-acquisition-circuit-design

    0下载:
  2. FPGA数据采集电路设计,一本关于FPGA数据采集电路设计的书籍-FPGA data acquisition circuit design
  3. 所属分类:Project Manage

    • 发布日期:2017-11-20
    • 文件大小:260771
    • 提供者:王维
  1. IRsensor

    0下载:
  2. 学习红外传感器电路设计,基本电路设计基本电路结构构成-Learning the infrared sensor circuit design, the basic circuit of the basic circuit design structure
  3. 所属分类:Project Manage

    • 发布日期:2017-11-21
    • 文件大小:710436
    • 提供者:李凤
  1. wendubuchang-circuit

    0下载:
  2. 学习适用于各种常用传感器的温度补偿电路设计,了解基本参数元件-Learning applied to a variety of commonly used sensor temperature compensation circuit design, understanding of the basic parameters of components
  3. 所属分类:Project Manage

    • 发布日期:2017-12-05
    • 文件大小:131320
    • 提供者:李凤
  1. LQ-300P

    1下载:
  2. EPSON lq-300k+电路设计原理图-EPSON LQ-300k+ circuit design schematics
  3. 所属分类:Project Manage

    • 发布日期:2017-11-26
    • 文件大小:3038208
    • 提供者:li lingling
  1. BUCK

    0下载:
  2. pism6.0实现buck降压电路设计,调节电路参数可以改变电路性能-pism6.0 buck buck circuit design, adjust circuit parameters can change the performance of the circuit
  3. 所属分类:Project Manage

    • 发布日期:2017-11-18
    • 文件大小:358989
    • 提供者:林美花
  1. 2.4G_circuit.

    0下载:
  2. 2.4G高频模块设计经验,用于zigbee等无线模块作为电路设计的参考。-2.4G RF Module design experience for other wireless module zigbee circuit design as a reference.
  3. 所属分类:Project Manage

    • 发布日期:2017-04-05
    • 文件大小:822526
    • 提供者:wl
  1. xianjingyuzukang

    0下载:
  2. 线径与阻抗,很好很有用。电路设计有用的资料-xianjing yu zukang,it‘s very important
  3. 所属分类:Project Manage

    • 发布日期:2017-04-06
    • 文件大小:2833
    • 提供者:wuyong
« 12 3 »
搜珍网 www.dssz.com