CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 编程文档 搜索资源 - 时钟

搜索资源列表

  1. 设计异步多时钟系统的综合以及描述技巧

    1下载:
  2. 关于异步多时钟系统设计的很好的文章,获2001年CA最佳论文三等奖
  3. 所属分类:编程文档

    • 发布日期:2010-09-24
    • 文件大小:159333
    • 提供者:autumap
  1. S3C2440

    0下载:
  2. 三星公司arm2440芯片操作手册。详述了2440芯片的管脚、中断、时钟等芯片信息,是了解arm2440开发板不可或缺的资料,对嵌入式arm的理解也有所帮助。
  3. 所属分类:编程文档

    • 发布日期:2010-12-27
    • 文件大小:2202131
    • 提供者:zhusj2010
  1. spi

    0下载:
  2. ;################################################ ; Designed by CaoHai 10-23-2002 in SDUWHB ; ; Updated by CaoHai 12-12-2002 in SDUWHB ; ; Upgraded by CaoHai 22-12-2002 in SDUWHB ; ; Upgraded by CaoHai 05-05-2003 in SDUWHB ; ;########################
  3. 所属分类:编程文档

    • 发布日期:2011-09-20
    • 文件大小:2397
    • 提供者:hencirl
  1. EDA课程设计多功能数字时钟报告

    0下载:
  2. 所属分类:编程文档

  1. 电子时钟小程序

    0下载:
  2. 设计一款电子时钟的小程序,可以更改时间,移动光标
  3. 所属分类:编程文档

  1. 时钟芯片DS1302 的程序(C51程序)

    0下载:
  2. 模块名称:DS1302.c 功 能:实时时钟模块 时钟芯片型号:DS1302 程序设计:zhaojunjie
  3. 所属分类:编程文档

    • 发布日期:2012-04-09
    • 文件大小:34816
    • 提供者:gdchenxl
  1. STC12C5A60S2.pdf

    3下载:
  2. STC12C5A60S2/AD/PWM系列单片机是宏晶科技生产的单时钟/机器周期(1T)的单片机,是高速/低功耗/超强抗干扰的新一代8051单片机,指令代码完全兼容传统8051,但速度快8-12倍。内部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换(250K/S),针对电机控制,强干扰场合。 1.增强型8051 CPU,1T,单时钟/机器周期,指令代码完全兼容传统8051; 2.工作电压:STC12C5A60S2系列工作电压:5.5V-3.3V(5V单片机)STC12LE5A
  3. 所属分类:编程文档

    • 发布日期:2012-10-18
    • 文件大小:1967339
    • 提供者:howard_dai
  1. time

    0下载:
  2. 时钟代码 ,暂时没有实现闹钟功能 ,还得改进-Clock code, the temporary absence of an alarm clock function, but also to improve
  3. 所属分类:Communication

    • 发布日期:2017-04-14
    • 文件大小:4007
    • 提供者:毛毛
  1. clock

    0下载:
  2. 通过实验,熟悉如何设置系统主时钟、辅助时钟、子系统时钟-Through experiments, and is familiar with how to set up the system master clock, auxiliary clock, sub clock
  3. 所属分类:Communication

    • 发布日期:2017-04-12
    • 文件大小:549
    • 提供者:ZIJI
  1. Radiofrequencycardreader

    0下载:
  2. 射频读卡器方案 该方案采用Silicon Lab 公司超低功耗SOC 型单片机C8051F31x,流水线指令 结构,70 指令执行时间为一个或两个系统时钟周期,速度可达25MIPS 灵活的 时钟源-Radio frequency card reader program used the program Silicon Lab company SOC-based single-chip ultra-low power C8051F31x, command line structure,
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:107087
    • 提供者:逻辑
  1. clock

    0下载:
  2. 数字时钟-Digital Clock
  3. 所属分类:Communication

    • 发布日期:2017-04-14
    • 文件大小:3764
    • 提供者:huaka2003
  1. DS1302

    0下载:
  2. 日历时钟芯片DS1302在电话抄表系统中的应用,希望对大家有用-Calendar clock chip DS1302 phone meter reading system, in the hope that useful. . .
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:178067
    • 提供者:梁飞
  1. FPGAclk

    0下载:
  2. fpga时钟,是入门的基础;希望对大家有帮助!-fpga clock, is the entry of the base want to help you!
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:920963
    • 提供者:董林立
  1. Keil

    0下载:
  2. 这个是1302做的电子时钟,有需要的可以下载-This is 1302 so the electronic clock, there is a need that can be downloaded
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:21815
    • 提供者:葱油饼
  1. FPGA_clock_design

    0下载:
  2. 无论是用离散逻辑、可编程逻辑,还是用全定制硅器件实现的任何数字设计,为了成功地操作,可靠的时钟是非常关键的。设计不良的时钟在极限的温度、电压或制造工艺的偏差情况下将导致错误的行为,并且调试困难、花销很大。 在设计PLD/FPGA时通常采用几种时钟类型。时钟可分为如下四种类型:全局时钟、门控时钟、多级逻辑时钟和波动式时钟。多时钟系统能够包括上述四种时钟类型的任意组合。-fpga clock design.
  3. 所属分类:Communication

    • 发布日期:2017-04-07
    • 文件大小:119394
    • 提供者:李国军
  1. RTC

    0下载:
  2. RTC 实时时钟,主要用于实现长时间计时。模块包括可选8:1 分频器,一个定时器T14,及一个32 位RTC 计数器。本例程介绍RTC的DAVE配置以及KEIL的编程指导-RTC Real Time Clock, mainly used to achieve a long time. Module includes an optional 8:1 divider, a timer T14, and a 32-bit RTC counter. The routine introduction of
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:523505
    • 提供者:陈全
  1. explainCPHAandCPLKofSPI

    0下载:
  2. 精解SPI的CPHA时钟相位与CPLK时钟极性-Explain the CPHA clock phase and the CPLK clock polarity of SPI
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:438835
    • 提供者:kiki
  1. szmbqh

    0下载:
  2. C语言实现时钟和秒表功能,并在显示中能切换,通信工程专业学习任务里应该有所帮助-C language clock and stopwatch functions, and can be switched in the display, communications, engineering should help in the learning task
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:1649
    • 提供者:六情軒
  1. 用VB编写简单的时钟程序

    0下载:
  2. 用VB编写简单的时钟程序.doc
  3. 所属分类:编程文档

    • 发布日期:2012-11-26
    • 文件大小:31744
    • 提供者:coolhandy
  1. 汇编课程设计电子时钟

    0下载:
  2. 在Windows环境,开发一个时钟程序。要求: ① 图形化的时针、分针、秒针转动界面 ② 数字化的显示当前时间 ③ 有菜单项,用户通过菜单项来设置时钟的背景颜色、时针、分针、秒针的颜色等。
  3. 所属分类:编程文档

    • 发布日期:2020-11-01
    • 文件大小:1940696
    • 提供者:gwx1223
« 12 3 »
搜珍网 www.dssz.com