CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 点亮流水灯

搜索资源列表

  1. ch02

    0下载:
  2. 点亮流水灯 是基于89s51单片机的 你们可以用simulater
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:12001
    • 提供者:龚树超
  1. Lab501-LED

    0下载:
  2. 最基础的用dm642的实现点亮流水灯的程序,初学很有用!-well dm642
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:141521
    • 提供者:刘其
  1. led

    0下载:
  2. 能点亮流水灯 51单片机典型的I/O口应用过程-can light up LED
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:6024
    • 提供者:肖遥鹏
  1. 书例_野火M3-流水灯

    0下载:
  2. stm32f103例程:点亮LED灯,包含库函数例程和寄存器例程。来源野火光盘(STM32F103 routine: lit LED lights, including library functions, routines and register routines. Source wildfire discs)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-25
    • 文件大小:897024
    • 提供者:mino1898
  1. 流水灯

    1下载:
  2. 简易流水灯源程序:本设计要求采用单片机,实现8只LED灯从上到下点亮、从下到上、从中间到两边、从两边到中间的“流水”效果。使用两个按钮控制,其中一个控制流水灯亮的方式,另一个控制流水灯亮的频率。 当按下开关k0时,单片机产生有效信号从P2端口输出到led灯。Led灯根据相应的信号,灯亮的顺序发生相应变化。 当按下开关k3时,单片机产生有效信号从P2端口输出到led灯。Led灯根据相应的信号,灯亮的频率发生相应变化。(Water light source program)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-16
    • 文件大小:25600
    • 提供者:鱼鱼呢
  1. 流水10s

    0下载:
  2. 通过对定时器输出比较功能的应用和S12单片机LED管脚联合,产生精准十秒一个循环的LED流水,对于LED点亮机制,流水如何实现以及定时器如何设置都有着很高的学习应用价值。(The foot joint output compare function application of the timer and the S12 microcontroller LED, to produce accurate ten seconds a circulating water LED, for the LE
  3. 所属分类:单片机开发

    • 发布日期:2017-12-23
    • 文件大小:291840
    • 提供者:Jimmyshi
  1. Template 点亮LED

    0下载:
  2. 基于stm32的点亮流水灯实验,需要在对应平台使用(Based on the stm32 lit water test, you need to use the corresponding platform)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-22
    • 文件大小:4250624
    • 提供者:一泓碧
  1. lesson1-1

    0下载:
  2. 实现流水灯的简单功能,能够点亮流水灯,流水灯循环点亮(Realize the water lamp simple function, can light water lamp, water lamp cycle light)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-24
    • 文件大小:28672
    • 提供者:StephenDurant
  1. 至简设计法--流水灯

    0下载:
  2. LED流水广告灯 工程说明 在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。 案例补充说明 在FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。(LED flow advertising lights Engineering
  3. 所属分类:嵌入式/单片机编程

  1. 花样流水灯

    1下载:
  2. 单片机P2的口上接有8只发光二极管LED组成的花样流水灯。通过对K按键的控制,控制花样流水灯左右循环流水点亮。(The P2 of the single chip microcomputer LED is connected with a water lamp composed of 8 light-emitting diodes. By controlling the K button, the water around the pattern light is controlled to l
  3. 所属分类:单片机开发

    • 发布日期:2017-12-21
    • 文件大小:46080
    • 提供者:冥社
  1. 流水灯工程_C版

    0下载:
  2. 用c语言实现从右往左依次逐个点亮流水灯。(With C language, from turn right to left, one by one to light water lights.)
  3. 所属分类:其他

  1. 流水灯

    0下载:
  2. 点亮所有的八个流水灯后依次一盏盏熄灭他们(Lights up all the lights and turns them out)
  3. 所属分类:汇编语言

    • 发布日期:2017-12-20
    • 文件大小:2048
    • 提供者:aju
  1. LED流水灯

    0下载:
  2. LED功能流水灯,实现对灯的按键复位控制,LED的向左依次点亮,不同的按键实现不同的点亮功能,(LED function water lamp)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-31
    • 文件大小:5340160
    • 提供者:爱尔阿斯
  1. IO输出-点亮1个LED灯方法

    0下载:
  2. 流水灯:IO输出,LCD点亮一个流水灯方法(LCD lights up a water lamp)
  3. 所属分类:单片机开发

    • 发布日期:
    • 文件大小:7168
    • 提供者:鸡架
  1. 实验3:LED流水灯

    0下载:
  2. 51开发板中第3个程序循环流水灯,运行程序后会自动点亮所有小灯并闪烁(The 3rd program in the development board is circulating the running water lamp, which will automatically light all the small lights and blink when the program is running)
  3. 所属分类:网络编程

    • 发布日期:2018-01-06
    • 文件大小:34816
    • 提供者:无界行者
  1. 单片机流水灯程序

    1下载:
  2. 本程序运用单片机,可以实现流水灯的某些简单的操作,如循环点亮流水灯。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. ex4-1 流水灯

    0下载:
  2. 通过单片机循环的给8个LED灯点亮,形成一个流水灯(The 8 LED lights are lighted by the single chip computer, and a flow lamp is formed.)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2018-01-09
    • 文件大小:181248
    • 提供者:战神意
  1. liushuideng

    0下载:
  2. 用74ls164的并行输出端接8个发光二极管,利用它的串入并出的功能,把发光二极管从左到右轮流点亮一个灯,并反复循环。(即流水灯效果)(A parallel output terminal of 74LS164 is used to connect 8 light-emitting diodes. By using its function of string in and out, the LED can turn a lamp on from left to right and circul
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-19
    • 文件大小:15360
    • 提供者:枫叶。。
  1. 单按钮控制的8个灯依次逐个点亮流水灯程序

    0下载:
  2. 单按钮控制的8个灯依次逐个点亮流水灯程序(Single button controlled 8 lights, one by one, lighting the water lamp program one by one.)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:12288
    • 提供者:上善若淼
  1. RAM调试—多彩流水灯

    0下载:
  2. 可以实现stm32的流水灯开发,让灯循环点亮(Water lamp development of STM32 can be realized)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-06
    • 文件大小:300032
    • 提供者:socold
« 12 3 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com