CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 16*64 13

搜索资源列表

  1. huffman

    0下载:
  2. 实现最优二叉树的构造;在此基础上完成哈夫曼编码器与译码器。 假设报文中只会出现如下表所示的字符: 字符 A B C D E F G H I J K L M N 频度 186 64 13 22 32 103 21 15 47 57 1 5 32 20 57 字符 O P Q R S T U V W X Y Z , . 频度 63 15 1 48 51 80 23 8 18 1 16 1 6 2 要求完成的系统应具备如下的功能: 1.初始化。从终端(
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:132535
    • 提供者:张娟
  1. C语言实战105例

    0下载:
  2. C语言实战105例 第1部分 基础篇 实例1 一个价值“三天”的BUG 2 实例2 灵活使用递增(递减)操作符 5 实例3 算术运算符计算器 7 实例4 逻辑运算符计算器 9 实例5 IP地址解析 11 实例6 用if…else语句解决奖金发放问题 13 实例7 用for循环模拟自由落体 16 实例8 用while语句求n! 19 实例9 模拟银行常用打印程序 22 实例10 使用一维数组统计选票 26 实例11 使用二维数组统计学生成绩 29 实例12 简单的计算器 
  3. 所属分类:Windows编程

    • 发布日期:2012-03-06
    • 文件大小:654374
    • 提供者:jawin@126.com
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. 19264

    0下载:
  2. 管脚号 管脚名称 LEVER 管脚功能描述 1 VSS 0V 电源地 2 VDD 5.0V 电源电压 3 VEE 5.0V~(-13V) 液晶显示器驱动电压 4 D/I H/L D/I=“H”,表示DB7~DB0为显示数据 D/I=“L”,表示DB7~DB0为显示指令数据 5 R/W H/L R/W=“H”,E=“H”,数据被读到DB7~DB0 R/W=“L”,E=“H→L”, DB7~DB0的数据被写到IR或DR 6 E H/L 使能信号:R/W
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:546
    • 提供者:梁军
  1. Haffmancode

    1下载:
  2. 课程设计: 1.求出在一个n×n的棋盘上,放置n个不能互相捕捉的国际象棋“皇后”的所有布局。 2.设计一个利用哈夫曼算法的编码和译码系统,重复地显示并处理以下项目,直到选择退出为止。 【基本要求】 1) 将权值数据存放在数据文件(文件名为data.txt,位于执行程序的当前目录中) 2) 分别采用动态和静态存储结构 3) 初始化:键盘输入字符集大小n、n个字符和n个权值,建立哈夫曼树; 4) 编码:利用建好的哈夫曼树生成哈夫曼编码; 5) 输出编码; 6)
  3. 所属分类:Data structs

    • 发布日期:2017-03-30
    • 文件大小:551312
    • 提供者:赵刚
  1. dianzhenshijianxianshi

    0下载:
  2. 64*16的点阵显示,利用DS1302时钟芯片显示时间-64* 16 lattice shows that the use of display time clock chip DS1302
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-05-07
    • 文件大小:1493835
    • 提供者:陈均浩
  1. asm

    0下载:
  2. 24*64点阵屏 64*24点阵LED点阵显示板源代码 1:初始通电时灯泡全亮,必需通过程序运行才能避免。这虽然说无伤大雅,但却也是一个弊端。原因是裸片MCU各个口都是高电平的原因,我在设计时未考虑周到。 2:CD4094穿行数据传输级联,现扫描顺序反了,导致编程稍微困难一些。目前顺序是这样的:一共64列嘛,从8开始的,8-7-6-5-4-3-2-1; 16-15-14-13-12-11-10-9;24-23-22-21-20-19-18-17-24* 64 dot matrix s
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:5528
    • 提供者:125449594
  1. des_java

    2下载:
  2. 一个DES的加密解密算法完整实现 包括S盒等重要实现,另外此程序还实现了文件数据流的加密解密 DESAlgorithm.java DesHelper.java DESStream.java // des算法初始置换表ip public static final int[] IP = { 58, 50, 42, 34, 26, 18, 10, 2, 60, 52, 44, 36, 28, 20, 12, 4, 62, 54, 46, 38, 30, 22, 14,
  3. 所属分类:Java Develop

    • 发布日期:2017-03-24
    • 文件大小:11640
    • 提供者:不死鸟
  1. Eular-Engineering

    0下载:
  2. Euler工程,相邻元素乘积最大。 给了一个20x20的两位数矩阵,其中的红字部分的积为: 26x63x78x14=1788696 那么,任意方向上(上、下、左、右、对角)4个相邻的数的最大乘积是多少?-In the 20x20 grid below, four numbers along a diagonal line have been marked in red. 08 02 22 97 38 15 00 40 00 75 04 05 07 78 52 12 50 7
  3. 所属分类:Algorithm

    • 发布日期:2017-03-28
    • 文件大小:3612
    • 提供者:superman
  1. (2)

    0下载:
  2. 用减奇数开平方运算 8086/8088指令系统中有乘除法指令但没有开平方指令,因此,开平方运算是通过程序来实现的。用减奇数法可求得近似平方根,获得平方根的整数部分。我们知道,N个自然数中的奇数之和等于N2 ,即: 1+3+5=32 1+3+5+7=16=42 1+3+5+7+9+11+13+15=64+82-Odd square root operation with reduced instruction set in 8086/8088 multiply and divi
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:4291
    • 提供者:ada
  1. S7-200biancheng

    0下载:
  2. 模拟电位器 H–2 H.2 怎样使用高速计数器 H–6 H.3 自由通信口模式的简单应用 H–10 H.4 处理脉宽调制 H–13 H.5 可逆电动机起动器电路――适用于改变三相交流感应电动机旋转方向 H–16 H.6 步执行顺序(事件鼓定时器) H–19 H.7 S7-200用自由通信口模式和并行打印机连接 H–23 H.8 通过自由通信口模式接受条形码阅读器的信息 H–27 H.9 集成脉冲输出通
  3. 所属分类:Communication

    • 发布日期:2017-03-23
    • 文件大小:779097
    • 提供者:李明
  1. s7-200bcc

    0下载:
  2. 模拟电位器 H–2 H.2 怎样使用高速计数器 H–6 H.3 自由通信口模式的简单应用 H–10 H.4 处理脉宽调制 H–13 H.5 可逆电动机起动器电路――适用于改变三相交流感应电动机旋转方向 H–16 H.6 步执行顺序(事件鼓定时器) H–19 H.7 S7-200用自由通信口模式和并行打印机连接 H–23 H.8 通过自由通信口模式接受条形码阅读器的信息 H–27 H.9 集成脉冲输出通
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:784019
    • 提供者:李明
  1. SI4463

    7下载:
  2. SILABS新推出SI4463完整DEMO板原理图、PCB图、DEMO程序下载。传输距离1000~2000米 SILABS新推出EZradioPRO系列RFIC:SI4432,适合长远距离的无线数据传输应用.其发射功率+20dbm,接收灵敏度-116dbm,通讯距离2000米 SI4463特点 频率范围= 119–1050 MHz 接收灵敏度 = –126 dBm 调频模式 (G)FSK and 4(G)FSK OOK and ASK 最大输出功率 +20 d
  3. 所属分类:SCM

    • 发布日期:
    • 文件大小:2699145
    • 提供者:王超
  1. hufferman

    2下载:
  2. 用下表给出的字符集和频度的实际统计数据建立哈夫曼树,并实现以下报文的编码和译码:“THIS PROGRAM IS MY FAVORITE”字符 A B C D E F G H I J K L M 频度 64 13 22 32 103 21 15 47 57 1 5 32 20 字符 N O P Q R S T U V W X Y Z 频度 57 63 15 1 48 51 80 23 8 18 1 16 1-The actual statistics of the character set a
  3. 所属分类:Data structs

    • 发布日期:2017-03-29
    • 文件大小:3103
    • 提供者:梁耀燊
  1. WENBEN

    0下载:
  2. 本文件牛逼的不行,想用的话自己打开来看吧,保证你大开眼界,流连忘返-class DZTstruct{ public: unsigned short rh_tag //0xONff where N=rh_nchan-1 (0-15) unsigned short 0-1 unsigned short rh_data //offset to data (1024*rhnchan) unsigned sho
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1729
    • 提供者:keenly
  1. test-three

    1下载:
  2. 合肥工业大学 C++程序设计 实验报告三 习题及对应完整代码 编程产生下列数组,并输出。 ⑴一维数组 1) (1 4 9 16 25 36 49 64 81 100) 2) (1 3 6 10 15 21 28 36 45 55)    3) (1 2 3 5 8 13 21 34 55 89) -C++ programming lab reports, Hefei University of three exercises and the correspondin
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-15
    • 文件大小:5943
    • 提供者:
  1. matlab-training-2660

    0下载:
  2. 《MATLAB程序设计教程》电子教案-2660 的目录 2005/04/21 21:24 57,856 第10章 MATLAB图形句柄.ppt 2005/04/21 21:25 64,512 第11章 MATLAB图形用户界面设计.ppt 2005/04/21 21:26 75,776 第12章 Simulink动态仿真集成环境.ppt 2005/04/21 17:58 48,640 第13章 在Word环境下使用MATLAB.ppt 2005/04/15 19:59
  3. 所属分类:matlab

    • 发布日期:2017-04-29
    • 文件大小:213606
    • 提供者:leo
  1. 多段录音程序

    0下载:
  2. [00:00.00]等你等了那么久 [00:03.58] [00:04.26]作词:祁隆 作曲:祁隆 [00:06.61]演唱:祁隆 编曲:马建涛 [00:08.54]和声:柠檬草 [00:09.49]混音:王路遥 [00:10.21]出品人:祁隆 [00:11.22] [02:33.64][00:27.20] [00:29.81]等你我等了那么久 [00:35.13]花开花落不见你回头 [00:41.09]多少个日夜想你泪儿流 [00:47.25]望穿秋水盼你几多愁
  3. 所属分类:单片机开发

    • 发布日期:2018-05-01
    • 文件大小:56320
    • 提供者:过期的馒头
  1. 大漠插件5.1423破解版

    0下载:
  2. 1. 前台模式的MoveR不再限定屏幕范围 2. 由于目前版本的按键不支持64位自动化变量,增加接口Int64ToInt32给按键使用. 涉及的接口有GetModuleBaseAddr ReadInt ReadIntAddr 3. 增加接口GetLocale和SetLocale,设置当前系统非UNICODE字符集为简体中文 4. 优化dx.public.opengl可能在某些窗口上闪烁太频繁的问题 5. 解决之前版本绑定dx图色后,如果更改了分辨率会导致窗口卡死的BUG 6. 解决En
  3. 所属分类:外挂编程

搜珍网 www.dssz.com