CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 8bit的约翰逊计数器

搜索资源列表

  1. 8比特的约翰逊计数器

    0下载:
  2. 用Verilog语言编写程序实现8比特约翰逊计数器(Write a program in Verilog language to implement the 8 bit Johnson counter.)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:10240
    • 提供者:limaozi
搜珍网 www.dssz.com