CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CNT8

搜索资源列表

  1. cnt8

    0下载:
  2. 用JK-flip-flop做的8进制counter-mod-8-counter
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:385003
    • 提供者:suhang
  1. CNT8

    0下载:
  2. 八进制计数器 用vhdl程序设计 比较全 大家可以参考-Counter 8
  3. 所属分类:Algorithm

    • 发布日期:2017-03-25
    • 文件大小:23054
    • 提供者:周勇
  1. vhdlll

    0下载:
  2. 八位数码管扫描显示程序,要求显示12345678 间隔四秒显示56789ABC 间隔四秒显示3456789A 再隔4秒显示 -LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL ENTITY chenyongqiang IS PORT ( CLK : IN STD_LOGIC SG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) 段控制信号输出
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:819
    • 提供者:hsing
搜珍网 www.dssz.com