CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - SIGMA_DELTA

搜索资源列表

  1. sigma_delta

    0下载:
  2. filter design sigma delta filter-filter design sigma delta filter
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:51471
    • 提供者:岳颀
  1. Sigma_Delta

    0下载:
  2. sigma-delta ADC轉換之matlab模型,整個系統都可模擬。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:388802
    • 提供者:hcjian
  1. Sigma_Delta.zip

    1下载:
  2. matlab simulink sdt example,matlab simulink sdt example
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-24
    • 文件大小:484481
    • 提供者:kalainajd
  1. delsig

    0下载:
  2. Oversampling Delta-Sigma Data Converters
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-27
    • 文件大小:546169
    • 提供者:张海
  1. Sigma_Delta

    0下载:
  2. A novel met hod t o p artially compensate sigma2delta shap ed noise is p rop osed. By injecting t he comp en2 sation cur rent int o t he p assive loop f ilte r during t he delay time of t he p hase f requency detect or ( PFD) , a maximum reductio
  3. 所属分类:source in ebook

    • 发布日期:2017-03-22
    • 文件大小:450230
    • 提供者:朱成发
  1. Sigma_Delta

    0下载:
  2. 1) high_level.mdl This file contains the model in Figure 1. 2) filter_desgin.m Here we design the filter, design a multi-stage filter and convert the filter to fixed-point. The filter results are all saved in one_stage.mat, multi_stage.mat a
  3. 所属分类:Multimedia program

    • 发布日期:2017-03-28
    • 文件大小:388779
    • 提供者:张海
  1. SIGMA_DELTA

    0下载:
  2. Code of Sigma Delta in MSP430. Simple code for Multiple bytes Transfer. Part of Sample Program
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-26
    • 文件大小:2825
    • 提供者:can
  1. Sigma_Delta

    1下载:
  2. ad转换的SINC3算法研究,可以研究研究-the ad conversion SINC3 Algorithm
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-01
    • 文件大小:541737
    • 提供者:liuzefu
  1. Sigma_Delta

    0下载:
  2. sigma delt ADC DESIGN
  3. 所属分类:Development Research

    • 发布日期:2017-04-04
    • 文件大小:388583
    • 提供者:sonika
  1. Sigma_delta

    0下载:
  2. Sigma_delta调制器的matlab模型和完整代码,可通过仿真观察输入输出信号波形以及输出的频谱,最后还说明了如何计算信噪比-Sigma_delta modulator of matlab models and complete code, can observe the simulation input/output signal waveform and the output spectrum, finally also illustrates how to calculate the
  3. 所属分类:matlab

    • 发布日期:2017-04-26
    • 文件大小:12539
    • 提供者:张俊
  1. Sigma_Delta

    2下载:
  2. sigma delta 调制器 滤波器设计(present a series of Simulink models to design a high-level behavioral model of a Sigma-Delta ADC)
  3. 所属分类:matlab例程

    • 发布日期:2018-04-21
    • 文件大小:483328
    • 提供者:ciancrooger
搜珍网 www.dssz.com