CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - electronics

搜索资源列表

  1. Electronic

    0下载:
  2. 本文档详细的介绍了从1997年到2007年的全国电子设计大赛的题目。希望有助于以后的电子行业的学生参加电子设计大赛。-This document describes in detail from 1997 to 2007 National Electronic Design Contest of the subject. Wish to contribute to the future of the electronics industry electronic design students
  3. 所属分类:Project Design

    • 发布日期:2017-05-10
    • 文件大小:2137879
    • 提供者:是傲霜
  1. Considerations_of_the_Driving_Electronics_of_LED_

    0下载:
  2. Considerations of the Driving Electronics of LED Video Display
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:213679
    • 提供者:jsmaia
  1. CarISO9141

    0下载:
  2. 汽车电子开发的重要通信协议ISO9141协议-The importance of automotive electronics development agreement communication protocol ISO9141
  3. 所属分类:Communication

    • 发布日期:2017-03-31
    • 文件大小:1002853
    • 提供者:xiejianbin
  1. danpianji

    0下载:
  2. 电子与单片机综合实训指导书,此书来源于浙江机电职业技术学院电气系-Integrated single-chip electronics and Training guide book, this book comes from Zhejiang Vocational and Technical School of Electrical and Electrical Department
  3. 所属分类:File Formats

    • 发布日期:2017-05-20
    • 文件大小:6064128
    • 提供者:zhupeng
  1. 1

    0下载:
  2. 2007年国家电子设计大赛竞赛论文:智能小车,中间有proteus仿真-2007 Design Competition Competition Electronics papers: smart car, proteus simulation of the middle
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:111165
    • 提供者:何健
  1. anintroductionoflabviewexerciseforanelectronicscla

    0下载:
  2. Higa, M.L. Tawy, D.M. Lord, S.M. Frontiers in Education, 2002. FIE 2002. 32nd Annual Volume 1, 6-9 Nov. 2002 Page(s):T1D-13 - T1D-16 vol.1 Digital Object Identifier 10.1109/FIE.2002.1157905 -An introduction to LabVIEW exercise for an electron
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:266881
    • 提供者:boyang
  1. Measurement_and_Instrumentation_Principles__3rd_E

    0下载:
  2. Book of electronics engineer
  3. 所属分类:software engineering

    • 发布日期:2017-05-12
    • 文件大小:3031067
    • 提供者:B
  1. AutoElectronics

    0下载:
  2. Document illustrating the Automotive electronics filed details
  3. 所属分类:software engineering

    • 发布日期:2017-04-23
    • 文件大小:250490
    • 提供者:mahesh
  1. SuperComm

    0下载:
  2. 电子工程师或软件工程师开发设计串口界面的时候,经常需要模拟软件(仿真软件)或协议分析仪对串口通讯进行监控模拟。有了串口通讯软件SuperComm,工作方便多了。不再需要昂贵的协议分析仪,不再需要专门编写仿真软件,只要一台运行微软Windows 2000/XP的电脑加上SuperComm,稍加配置就可进行开发测试了。 -Electronics engineers or software engineers developing and designing serial interface, it
  3. 所属分类:software engineering

    • 发布日期:2017-03-23
    • 文件大小:173408
    • 提供者:zhujian
  1. 1234567890987

    0下载:
  2. 中国电子工程师手册,对学习电子的同学相当的有用,对于从事本行的人也大有好处-China' s electronics engineers, manual, e-learning students quite useful, for in their own field who will also greatly benefit
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:23051
    • 提供者:fanglu
  1. Circuits

    0下载:
  2. electronics documentation about theory of electronics
  3. 所属分类:Document

    • 发布日期:2017-06-02
    • 文件大小:14633797
    • 提供者:chaban
  1. dzzz

    1下载:
  2. 单片机电子制作文章,打包合集,包括:40kHZ超声波收发电路,FM调频发射,红外线自动门控制器电路,指纹识别系统,省电实用的电话灯等-SCM electronics manufacturing articles, packaged Collection, including: 40kHZ ultrasound transceiver circuit, FM FM transmitter, infrared automatic door control circuit, fingerprint i
  3. 所属分类:Document

    • 发布日期:2017-04-01
    • 文件大小:530282
    • 提供者:qiancunquan
  1. ECE

    0下载:
  2. syllabus for the GATE electronics course
  3. 所属分类:Document

    • 发布日期:2017-05-15
    • 文件大小:3973552
    • 提供者:Debapriya
  1. SOLID-STATES-ELECTRONICS

    0下载:
  2. This course prepares you to do the following: • Use LabVIEW to create applications. • Understand front panels, block diagrams, and icons and connector panes. • Use built-in LabVIEW functions. • Create and save programs in
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:746122
    • 提供者:Theodore
  1. V7P51

    0下载:
  2. electronics scale mot file for ic hd6438124f
  3. 所属分类:File Formats

    • 发布日期:2017-04-16
    • 文件大小:19488
    • 提供者:lingappa
  1. 90119018

    0下载:
  2. 电子资料很适合广大电子爱好者来参考,希望对大家有一些用处-Electronic data is suitable for general electronics enthusiasts to refer to, in the hope we have some useful
  3. 所属分类:Project Manage

    • 发布日期:2017-04-16
    • 文件大小:242722
    • 提供者:苏月月
  1. vc

    0下载:
  2. 集成设备电路,Integrated Device Electronics,一般叫做IDE总线,但是更准确地应该是ATA。IDE总线是平行总线,在SATA(Serial ATA)引入后,Parallel ATA(IDE)被称为PATA.它一般会作为ATA硬件的接口,它的本意是指把“硬盘控制器”与“盘体”集成在一起的硬盘驱动器。把盘体与控制器集成在一起的做法减少了硬盘接口的电缆数目与长度,数据传输的可靠性得到了增强,硬盘制造起来变得更容易,因为硬盘生产厂商不需要再担心自己的硬盘是否与其它厂商生产的控
  3. 所属分类:File Formats

    • 发布日期:2017-04-25
    • 文件大小:13837
    • 提供者:jiang
  1. inv1

    0下载:
  2. pdf file for the invertor of electronics
  3. 所属分类:File Formats

    • 发布日期:2017-04-24
    • 文件大小:54225
    • 提供者:harish
  1. PCBCONSTRUCTION1

    0下载:
  2. P.C.B. CONSTRUCTION for electronics
  3. 所属分类:Project Design

    • 发布日期:2017-04-15
    • 文件大小:6170
    • 提供者:PIYUSH KUSHWAHA
  1. at89s52

    0下载:
  2. at89s52 ic for electronics microcontroller
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:380942
    • 提供者:PIYUSH KUSHWAHA
« 1 2 3 4 56 7 8 9 10 ... 22 »
搜珍网 www.dssz.com