CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - enc-03

搜索资源列表

  1. Program

    2下载:
  2. AVR自平衡车主程序,使用ENC-03陀螺仪和MMA7260作为传感器,内含卡尔曼滤波、PID-AVR owners of self-balancing process, the use of ENC-03 MMA7260 as gyroscopes and sensors, embedded Kalman filter, PID, etc.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-01-11
    • 文件大小:68079
    • 提供者:testsb
  1. ourdev_608933JR01FI

    0下载:
  2. enc-03-mb陀螺仪的参考资料.e文的,很简单-Enc-03-mb gyroscopes references . E article, very simple
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:505029
    • 提供者:rod
  1. Program

    1下载:
  2. AVR自平衡车主程序,使用ENC-03陀螺仪和MMA7260作为传感器,内含卡尔曼滤波、PID-AVR owners of self-balancing process, the use of ENC-03 MMA7260 as gyroscopes and sensors, embedded Kalman filter, PID, etc.
  3. 所属分类:SCM

    • 发布日期:2016-07-02
    • 文件大小:67584
    • 提供者:kelvin
  1. yadiantuoluoENC-03

    0下载:
  2. 微机械压电陀螺ENC-03的中文手册,为日本村田公司生产。-Piezoelectric micro-machined gyroscope ENC-03 Chinese language manual produced for the Japanese Murata.
  3. 所属分类:Project Manage

    • 发布日期:2017-03-23
    • 文件大小:135780
    • 提供者:阿军
  1. enc

    0下载:
  2. I have aatched C code for enc.
  3. 所属分类:Applications

    • 发布日期:2017-04-07
    • 文件大小:1098
    • 提供者:Bhaul
  1. ENC

    0下载:
  2. source code to encrypt and decrypt text (like password) that is sent in a network
  3. 所属分类:Java Develop

    • 发布日期:2017-03-29
    • 文件大小:26175
    • 提供者:nasavitirk
  1. Balance-Car

    0下载:
  2. 使用了MEGA8,ENC-03,KXT19制作成的的两轮自平衡车,唯一功能就是自己平衡不倒。-used a mega8 ,enc-03 and kxt19 to make a TwoWheel-auto-balancing robot,the robot can make the balance itself!
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-27
    • 文件大小:4309
    • 提供者:宋冬晓
  1. enc

    0下载:
  2. 光电增量码盘的计数溢出处理,可以帮助学生及初学者对光电增量码盘的计数信号进行正确处理。 -Method for over/under flow of ENC
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:8797
    • 提供者:jzh
  1. ENC_OLED

    0下载:
  2. 飞思卡尔单片机XS128 陀螺仪(ENC-03)OLED显示例程。 源码,作者自主开发,请勿用于商业用途-MC9S12XS128 ENC-03
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-25
    • 文件大小:281482
    • 提供者:
  1. ENC-03-TWO-AXIS

    0下载:
  2. DATASHEET AND OTHER TING TO LEARN AND READ
  3. 所属分类:IT Hero

    • 发布日期:2017-06-09
    • 文件大小:16271334
    • 提供者:严一
  1. K60程序

    0下载:
  2. 飞思卡尔直立ccd用的是k60芯片用的是ENC-03陀螺仪(The freescale k60 upright car program)
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:2779136
    • 提供者:230000
搜珍网 www.dssz.com