CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fft加汉宁窗 MATLAB程序

搜索资源列表

  1. armok01167658

    1下载:
  2. 最近用Mega128写了一个128点FFT程序,浮点运算,加汉宁窗。由于窗函数和旋转因子通过查表得到,所以速度还可以,程序中给的初值是通过高速AD采集的20KHz正弦信号。计算结果与Matlab的FFT函数得到的结果相同。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:20269
    • 提供者:dfsdf
  1. hanning

    0下载:
  2. fft汉宁窗 MATLAB程序 幅频特性的matlab实现 汉宁窗的产生程序,分析他们的幅度和相位响应(FFT MATLAB program Hanning window amplitude and frequency characteristics matlab program Hanning window, analysis of the amplitude and phase of their response)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:2048
    • 提供者:龟龟威
搜珍网 www.dssz.com