CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - openDSS

搜索资源列表

  1. PV_MAX

    0下载:
  2. 电力系统程序,是电网接入光伏的最大容量程序,其中的限制条件为为全部节点的电压上下限,是MATLAB与OPENDSS的联合编程,程序可以得到任何一个节点的光伏接入极值-Power Systems program is the maximum capacity of photovoltaic grid connection procedures, restrictions as to limit the voltage on all nodes, joint programming and OPEN
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:11866
    • 提供者:刘小北
  1. 123Bus

    1下载:
  2. 是电力系统的IEEE标准算例的OPENDSS编写好的额程序,里面包含有短路算法-Is the IEEE standard power system operator OPENDSS writing good example of the amount of the program, which contains the shortest path algorithm
  3. 所属分类:Energy industry

    • 发布日期:2016-08-08
    • 文件大小:2532352
    • 提供者:刘小北
  1. Matlab

    1下载:
  2. 这个文件是,opendss与matlab交互编程的标准示例,可以帮助学习者更快的掌握opendss与matlab的交互-This file is a standard example opendss interact with matlab programming, can help learners to quickly grasp the interaction with the matlab opendss
  3. 所属分类:Energy industry

    • 发布日期:2016-08-13
    • 文件大小:12288
    • 提供者:刘小北
  1. matlab_opendss_interface-and-test

    1下载:
  2. 该程序实现了matlab软件通过COM接口与OPENDSS软件的衔接,可以在matlab中编程对OPENDSS内的程序进行更改。-The program implements matlab software via COM interface OPENDSS software convergence, can be programmed to make changes within the program OPENDSS in matlab.
  3. 所属分类:Other systems

    • 发布日期:2016-08-13
    • 文件大小:2048
    • 提供者:肖天颖
  1. Introduction-to-the-OpenDSS

    1下载:
  2. OpenDSS学习资料,包括如何安装,建立一个OpenDSS程序-this file provide a guideness on how to set up a opendss program and how to start a program
  3. 所属分类:Other systems

    • 发布日期:2016-08-08
    • 文件大小:266240
    • 提供者:左权
  1. Computing-in-OpenDSS

    0下载:
  2. 改文件讲述了如何在opendss中设置电力系统的参数,故障-this program tells you how to set up parameters of power sysytem in OpenDSS
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:44642
    • 提供者:左权
  1. NEVTESTCASE

    0下载:
  2. OPENDSS源代码,用于电力系统仿真,也可用于MATLAB调用,nevtestcase-OPENDSS source code, used in power system simulation, can also be used to invoke MATLAB, nevtestcase
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-05
    • 文件大小:8947
    • 提供者:赵凯
  1. ieee34Mod2

    0下载:
  2. opendss软件中辐射性配电网潮流计算34节点的源码,还有另外两个,请下载搜索ieee34linecode-opendss software distribution power flow calculation radioactive source node 34, there are two other, download search ieee34linecode
  3. 所属分类:Software Testing

    • 发布日期:2017-04-14
    • 文件大小:2762
    • 提供者:张春磊
  1. IEEELineCodes

    0下载:
  2. opendss 34 节点配电网潮流计算,这是其中一部分,另外两部分为ieee34mod2和ieee34runmod2-opendss 34 node distribution power flow calculation, which is part of it, and the other two into ieee34mod2 ieee34runmod2
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:2924
    • 提供者:张春磊
  1. PHD_Student_opendss

    0下载:
  2. OpenDss for modelling Distribution Network
  3. 所属分类:File Formats

    • 发布日期:2017-05-03
    • 文件大小:930666
    • 提供者:Ahmed
  1. DSSMonteCarlo

    0下载:
  2. OPENDSS MonteCarlo matllab
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-14
    • 文件大小:2745
    • 提供者:jeff
  1. OpenDSS-PVSystem-Model

    0下载:
  2. OpenDSS PVSystem Element Model
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:50448
    • 提供者:jeff
  1. Harmonics-Load-Modeling

    0下载:
  2. Load Modeling in Harmonics Analysis with OpenD-Load Modeling in Harmonics Analysis with OpenDSS
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:217423
    • 提供者:jeff
  1. OpenDSS-Dynamics-Mode

    0下载:
  2. OpenDSS Dynamics Mode
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:69088
    • 提供者:jeff
  1. OpenDSS-Neutral-Rules

    0下载:
  2. OpenDSS Neutral Conventions
  3. 所属分类:software engineering

    • 发布日期:2017-05-05
    • 文件大小:20834
    • 提供者:jeff
  1. OpenDSS-Circuit-Interface

    0下载:
  2. OpenDSS COM Documentation
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:123191
    • 提供者:jeff
  1. 4Bus-DY-Bal

    0下载:
  2. 一个OpenDss入门算例,帮助大家了解OpenDss的文件结构(A OpenDss entry example, to help you understand the OpenDss file structure)
  3. 所属分类:其他

  1. OpenDSSManual

    0下载:
  2. opendss 基本操作手册,get the basic ideas of opendss operations,basically all you need.(basic operations for opendss)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:1745920
    • 提供者:ajiajia
  1. reliability 6 bus

    0下载:
  2. reliability 6 bus matlab and opendss
  3. 所属分类:matlab例程

    • 发布日期:2018-04-22
    • 文件大小:44032
    • 提供者:Mohsen22
  1. Matlab and OPENDSS for Distribution power Flow

    0下载:
  2. 实现了matlab COM接口与OPENDSS的交互,可以在matlab中编程对PENDSS内的程序进行更改。以 IEEE-13节点系统潮流计算作为opendss与matlab交互编程的标准示例,帮助学习者更快的掌握opendss与matlab的交互。(OpenDSS utilized for a distribution system power flow using Matlab COM Interfacing)
  3. 所属分类:文章/文档

    • 发布日期:2018-05-03
    • 文件大小:961536
    • 提供者:kongmang
« 12 »
搜珍网 www.dssz.com