CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:任***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. vbcomm

    1下载量:
  2. 本文所用的仪表为梅特勒公司出产的BE01型电子秤,其输出的每个编码均为标准的ASCII码。以VB串口编程实例方式入手,可以迅速掌握串口用法。-This paper used for the Mettler Instrument Corporation BE01 produced electronic scales, the output of each encoder are standard ASCII code. To VB programming examples of the way t
  3. 所属分类:Com Port

    • 发布日期:2015-09-19
    • 文件大小:5805
搜珍网 www.dssz.com