CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 位同步

搜索资源列表

  1. haowy

    0下载:
  2. 程序自带248000多首歌曲与68000多张专揖及38000多位歌手,所有歌典百分百可听,与主站同步更新,上传后只需更改头部与底部文件即可,请为本站做个友情连接就感谢万分了~! -The program comes with more than 248,000 songs and more than 68,000 more than 38,000 artists and more than 38,000 singers, all songs are 100 percent audible, sy
  3. 所属分类:Web Server

    • 发布日期:2017-05-06
    • 文件大小:741660
    • 提供者:dzr
  1. USB_Keil_Project_8BIT

    0下载:
  2. USB2.0芯片68013的底层驱动,设置USB为8位数据同步传输-68,013 USB2.0 underlying driver chip, 8-bit data is provided to USB synchronous transmission
  3. 所属分类:SCM

    • 发布日期:2017-12-12
    • 文件大小:213431
    • 提供者:gdres
  1. chuanzhuanbing2

    0下载:
  2. 基于STC12C5A60S2单片机的串转并2. 利用74LS164扩展8个输出口,74LS164的8位输出接一个8段数码管,在数码管上循环显示0到9十个数字,采用定时器定时1秒钟,每秒种数字变化一次。单片机采P3.0作为数据引脚,P3.1作为时钟输出引脚,要求单片机采用两种方法实现对74LS164的控制: (2)将P3.0和P3.1作为单片机的串口输出引脚,采用同步串口方式控制74LS164。-Based on STC12C5A60S2 Single Chip Microcomputer
  3. 所属分类:SCM

    • 发布日期:2017-12-13
    • 文件大小:23379
    • 提供者:殷茵
  1. shft

    0下载:
  2. 含同步并行预置功能的8位移位寄存器。工作原理 当CLK的上升沿到来时进程被启动,如果这时预置使能LOAD为高电平,则将输入端口的8位二进制数并行置入移位寄存器中,作为串行右移输出的初始值;如果LOAD为低电平,则执行语句: reg8(6 downto 0)< reg8(7 downto 1)-8 bit shift register with synchronous parallel preset function. The principle of work when the ri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-16
    • 文件大小:38912
    • 提供者:
  1. 数据采集

    0下载:
  2. 采集数据,时钟同步采集8位数据。能选择通道(Collect data and clock synchronously collect 8 data. Option channel)
  3. 所属分类:LabView编程

    • 发布日期:2017-12-29
    • 文件大小:11264
    • 提供者:aimoshu
  1. SEQ_DETECTOR

    0下载:
  2. 这是一个四位串行数据检测器,一共有三种模式可以选择:递增(检测连续四位递增序列),递减(检测连续四位递减序列)和不变(检测连续四位不变序列)。整个设计采用同步时钟,异步复位,用米利状态机,并配置好了仿真环境和仿真文件。(This is a four bit sequence detector, including three modes that can be selected: increment mode (detecting four consistency increment data)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:1855569
    • 提供者:LLawliet
  1. Johnaon_counter

    0下载:
  2. 本设计为六位约翰逊(Johnson)计数器,首先给大家介绍一下什么是约翰逊计数器,它又称扭环计数器,是一种用n位触发器来表示2n个状态的计数器。它与环形计数器不同,后者用n位触发器仅可表示n个状态。2~n进制计数器(n为触发器的个数)有2~n个状态。若以6位二进制计数器为例,它可表示64个状态。但由于8421码每组代码之间可能有二位或二位以上的二进制代码发生改变,这在计数器中特别是异步计数器中就有可能产生错误的译码信号,从而造成永久性的错误。而约翰逊计数器的状态表中,相邻两组代码只可能有一位二进
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:6299
    • 提供者:Leegege
  1. RS 485

    1下载:
  2. 两块stm32板进行远程通讯,分别按下4个K键,数码管个位十位百位千位加一,主板与从板同步,并有倒计时功能。(Two pieces of STM32 board for remote communication, respectively press 4 K keys, the digital tube bit ten bits thousand bits plus one, the main board and from the board synchronization, and have
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-10
    • 文件大小:1755136
    • 提供者:casemy
  1. AD7616_cn

    2下载:
  2. AD7616中文数据手册 16通道、双路、同步采样输入 可独立选择的通道输入范围 真双极性:±10 V、±5 V、±2.5 V 5 V单模拟电源,VDRIVE电源电压:2.3 V至3.6 V 完全集成的数据采集解决方案 模拟输入箝位保护 具有1 MΩ模拟输入阻抗的输入缓冲器 一阶抗混叠模拟滤波器 片内精密基准电压及基准电压缓冲器 双通道16位逐次逼近型寄存器(SAR)ADC 吞吐速率:2 × 1 MSPS 通过数字滤波器提供过采样功能 灵活的序列器,支持突发模式 灵活
  3. 所属分类:文章/文档

    • 发布日期:2018-04-21
    • 文件大小:2329600
    • 提供者:试试121
  1. cnt8updown

    0下载:
  2. 8位上下同步计数器 适宜小型练手操作 易于理解(an 8-bit up and down synchronous counter in VHDL with the following features: (1) The same ports are used for signals to be inputted and outputted. The ports are bi-directionally buffered (three-state). (2) The counter is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1014784
    • 提供者:名之联
  1. 8

    0下载:
  2. MetInfo企业建站系统是一个完美实现了"电脑网站+手机网站+微信公众号"三位网络入口为一体的企业网站管理系统。利用一个后台,就能够便捷的管理电脑网站、手机网站、微信公众号,信息内容都可以一致同步推送。(The MetInfo enterprise site system is a perfect website management system that integrates the three network portals of "computer web
  3. 所属分类:WEB开发

    • 发布日期:2018-05-03
    • 文件大小:18990080
    • 提供者:qy4y4wy
  1. 17

    0下载:
  2. MetInfo 企业网站管理系统,完美实现"电脑网站+手机网站+微信公众号"三位网络入口为一体的php企业网站管理系统。利用一个后台,就能够便捷的管理电脑网站、手机网站、微信公众号,信息内容都可以一致同步推送。微信公众号管理系统让你无需支付任何费用就可以使用开发模式,无任何限制,并且能够免费使用开发模式实现的功能。(MetInfo business website management system, the perfect implementation of "c
  3. 所属分类:WEB开发

    • 发布日期:2018-05-02
    • 文件大小:18100224
    • 提供者:q4y5ehtj
  1. FSA506

    0下载:
  2. fsa506是一个基于TFT LCD控制器的CPU接口。它可以支持面板分辨率达640x240像素262144种颜色深度。用户可以发送一个全屏图像或部分图像通过控制微处理器与流行的微处理器接口,18/16 / 9/8位68系列或80系列。输出数据和同步信号将被发送到TCON的同时。(FSA506 is a CPU interface based TFT LCD controller. It can support panel resolution up to 640x240 pixels with
  3. 所属分类:其他

    • 发布日期:2018-05-02
    • 文件大小:698368
    • 提供者:ramrocket
  1. 睡眠理发师

    1下载:
  2. 一个理发师服务多位顾客,定义信号量来进行线程间的同步,是否开门营业,给顾客理发,顾客离开,理发师休息
  3. 所属分类:进程与线程

  1. ch374驱动

    0下载:
  2. CH374是一个USB总线的通用接口芯片,支持USB-HOST主机方式和USB-DEVICE/SLAVE设备方式,内置3端口HUB根集线器,支持低速和全速的控制传输、批量传输、中断传输以及同步/等时传输。在本地端,CH374具有8位数据总线和读、写、片选控制线以及中断输出,可以方便地挂接到单片机/DSP/MCU/MPU等控制器的系统总线上。除此之外,CH374还提供了节约I/O引脚的SPI串行通讯方式,通过3线或者4线SPI串行接口以及中断输出与单片机/DSP/MCU/MPU等相连接。(CH37
  3. 所属分类:驱动编程

    • 发布日期:2020-03-30
    • 文件大小:3163136
    • 提供者:17273423
  1. 宽屏首页列表翻页教程网(带手机)

    0下载:
  2. 宽屏首页列表翻页教程网源码是asp+access/mssql架构网站系统,电脑版,手机版,平板版无缝切换,一个后台同步管理,整站生成静态利于搜索收录,dreamweaver打开可视化修改。 可用于制作教程网、文章网、新闻网、资讯网等 程序前台有首页、列表页、内容页、会员登录、会员注册、会员个人中心、会员积分体系、会员投稿、投稿编辑、会员签到、在线留言、文章评论、整站搜索等功能。 后台具备,批量数据采集、服务器信息、修改管理员个人资料、安全退出、一键安装模板、一键安装
  3. 所属分类:ASP源码

    • 发布日期:2020-10-07
    • 文件大小:3109727
    • 提供者:qq2801819206
  1. CS5523规格书|MIPI转EDP方案设计|替代LT8911芯片电路原理|ASL集睿致远CS替代龙讯

    0下载:
  2. ASL芯片(集睿致远) CS5523是一款MIPI DSI输入,DP/e DP输出转换芯片,可pin to pin替代LT8911龙讯芯片。 MIPI DSI 最多支持 4 个通道,每个通道的最大运行速度为 1.5Gps。对于DP 1.2输出,它支持1.62Gbps和2.7Gbps的链路速率,支持2560 * 1440@60Hz的最高分辨率。单电源1.8V,节省成本并优化电路板空间。 CS5523适用于多个细分市场和显示应用,如手持设备,主板,双面板显示器和汽车显示器等等,CS5523芯片M
  3. 所属分类:其他嵌入式/单片机内容

« 1 2 ... 12 13 14 15 16 17»
搜珍网 www.dssz.com