CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 双口RAM

搜索资源列表

  1. real_module

    0下载:
  2. 对进来的数据进行乒乓操作,例如0-63出来的结果是31-0,63-32.进来和出去为同一时钟,且都是流水线方式,结构为双口RAM.-Ping-pong on the incoming data operations, such as 0-63, the results are 31-0,63-32. Come in and out of the same clock, and are pipelined, the structure of dual-port RAM.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1915223
    • 提供者:王海生
  1. dsdram

    0下载:
  2. linux内核下双口ram字符设备驱动程序-dual port ram driver process under linux
  3. 所属分类:Linux驱动

    • 发布日期:2017-04-04
    • 文件大小:2479
    • 提供者:桂景峰
  1. dpram

    0下载:
  2. 包含整个工程,是用verilog来编写,实现双口ram的功能-Contains the entire project is to write Verilog to achieve the function of the dual-port ram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-05
    • 文件大小:2258944
    • 提供者:ghj
  1. Port-RAMs

    0下载:
  2. 介绍双口ram功能,进一步了解在fpga上怎么设计一个双口ram-Introduced the dual-port ram function to learn more about the fpga on how to design a dual port ram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:352756
    • 提供者:吴越强
  1. RAM

    0下载:
  2. 基于verilog的双口和单口RAM的实现-Verilog dual port and single port RAM-based implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:136746
    • 提供者:xinghe
  1. FIFO

    0下载:
  2. FPGA内设计同步FIFO和异步FIFO,以及双口RAM的方法,FIFO设计的经验之谈,非常经典。-Synchronous FIFO and asynchronous FIFO, and dual-port RAM within the FPGA design,FIFO design rule of thumb, very classic.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2389369
    • 提供者:peter
  1. IDT70V24L15PFI

    0下载:
  2. 双口RAM,IDT70V24L15PFI 的文档-Dual-port RAM, IDT70V24L15PFI documentation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:173691
    • 提供者:杨庆锐
  1. ram_2

    0下载:
  2. 简易双口ram,使用两个ram ip core,一个写的同时另一个读,并且包含按键使能和数码管以及流水灯显示-Simple dual-port ram, two ram the ip core, a write while another read, and contains buttons to enable digital pipe and the water light show
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3299673
    • 提供者:fpga
  1. AdualportramtT

    0下载:
  2. 采用两片AT89S51对双口RAM IDT7132测试试程序,采用串口助手显示接收内容。, -Using the two AT89S51 dual-port RAM the IDT7132 test pilot program, the serial assistant receive content. ,
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:8127
    • 提供者:tianmanglian
  1. TASKTEST

    0下载:
  2. 基于C33的DSP,用于4通道的力矩控制,为PID控制,其中是PCI总线,通过双口RAM的读写来确保和PC的实时性通讯-Based on the C33' s DSP torque control for 4-channel PID control.
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:6467
    • 提供者:lihe
  1. DportRAMComm

    0下载:
  2. 在双口RAM的8051单片机之间的通讯,数据传输与接收。-Communications, data transmission and reception between the dual-port RAM 8051.
  3. 所属分类:SCM

    • 发布日期:2017-11-28
    • 文件大小:17948
    • 提供者:qkxi
  1. staticram_driver

    0下载:
  2. 基于at91rm9200的sram驱动,sram为16k*16的双口ram,测试通过,读写正常-Based at91rm9200 the sram drive, sram 16k* 16 dual port ram test passed, read and write properly
  3. 所属分类:Driver develop

    • 发布日期:2017-12-02
    • 文件大小:10445
    • 提供者:王新
  1. Dual_RAM

    0下载:
  2. 两块DSP芯片之间通过双口RAM实现数据传递-To pass data between the dual-DSP
  3. 所属分类:DSP program

    • 发布日期:2017-11-10
    • 文件大小:2283127
    • 提供者:小欤
  1. dualram

    0下载:
  2. 本文件给出了一种双口RAM的代码,开发语言为verilog。测试可用,欢迎下载-This document gives a dual-port RAM code verilog development language. Test is available, welcome to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:709
    • 提供者:秦艳召
  1. WriteDulePortRam

    0下载:
  2. c8051f020,读写双口RAM, c8051f020,读写双口RAM,-c8051f020, dual-port RAM read and write C8051f020 read and write dual-port RAM, C8051f020 dual-port RAM read and write,
  3. 所属分类:SCM

    • 发布日期:2017-11-16
    • 文件大小:781
    • 提供者:王刚
  1. ram_led

    0下载:
  2. 文件包括分频、计数、伪双口ram读些和数码管显示,将50MHz的时钟分频为1Hz并计数,然后将结果存储在RAM中,然后读取计数结果并显示。-File divider, counting, pseudo-dual port ram read digital display, 50MHz clock frequency of 1Hz and count, then the result is stored in RAM, and then read the count results and dis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:3603441
    • 提供者:jiazhaorong
  1. 6

    0下载:
  2. 左单片机读取双口RAM中分配给右单片机的配置信息存储空间,右单片机读取双口RAM中分配给左单片机的配置信息存储空间,良但片即读取配置信息后可以按照配置信息对自身工作方式等进行设定,达到双机通信的目的,间接实现了一单片机对另一单片机的配置控制。-Left microcontroller reads the dual-port RAM allocated to the right microcontroller configuration information storage space, rig
  3. 所属分类:SCM

    • 发布日期:2017-12-04
    • 文件大小:2067
    • 提供者:小源源
  1. STM32F103

    1下载:
  2. 完整的STM32F103工程源码,ADC采集三路温度和片内温度,FSMC外接双口RAM,USART传输数据-failed to translate
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-08-07
    • 文件大小:287375
    • 提供者:hero515435
  1. C51_RAM

    0下载:
  2. C51下IDT7005双口RAM控制程序和原理图-C51 under IDT7005 dual-port RAM control procedures and schematics
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:275860
    • 提供者:Brown Smith
  1. RAM_VHDL

    0下载:
  2. 用VHDL描述了一个32KBit的独立的读写时钟、使能、地址的双口RAM,-VHDL descr iption of a 32KBit with independent read and write clock, enable, address the dual-port RAM,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:515
    • 提供者:dengyaohui
« 1 2 3 4 5 67 8 9 10 »
搜珍网 www.dssz.com