CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 并串转换

搜索资源列表

  1. Mov9

    0下载:
  2. 本工程实现的是9位义位与串并变换模块 具体工作过程是: 在时钟CLK的上升沿触发下,从inp端输入接收m序列,按顺序inp->A9->A8->...->A0进行意味,同时把A9,A8,...A0的输出分别给B9,B8,B7,...从而完成串并转换的功能。Q端的信号取自A0的输出短,作为一位4位后的串行m序列信号。 clk为输入时钟信号;inp为接收序列信号输入;Q为串行序列输出;B0~B3为四位并行序列输出。
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:248220
    • 提供者:youyou
  1. bunchcombinechange

    0下载:
  2. Verilog源代码,实现串并转换,学Verilog的不错的基本例程
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-20
    • 文件大小:114290
    • 提供者:3060421006
  1. AT89C51_proteus_fangzhenban

    0下载:
  2. PROTEUS仿真用单片机系统板 系统资源丰富: ★ 内置RAM 32KB模块 ★ 内置8位动态数码显示模块 ★ 内置8X8点阵显示模块 ★ 4位静态数码显示模块 ★ 4位级联的74LS164串并转换模块 ★ 内置8通道8位A/D转换 ★ 内置8位D/A转换 ★ 内置2路SPI和I2C总线接口 ★ 内置4路1-Wire总线接口 ★ 内置4X4矩阵式键盘 ★ 内置4路独立式键盘 ★ 内置4路拨动开关 ★ 内置8位LED发光二极管 ★ 内置
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:73726
    • 提供者:zjz
  1. timer-adc-uart_test

    0下载:
  2. CC2430的A/D转换测试程序。程序基于IAR软件编写的。通过A/D通道采集模拟量并转化为数字信号,然后通过串口发送到PC,在PC机可以看到采样结果。估计对开发无线传感器网络的相关人士比较重要。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:32404
    • 提供者:张国祥
  1. ChuanKou

    0下载:
  2. 这是一个汽车压力检测系统,利用RS232串口进行通信,并在可编程并行接口芯片8255A上进行编程,用到了查询方式A/D转换器接口电路及数据采集程序设计原理等
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:149020
    • 提供者:方允福
  1. USB

    1下载:
  2. 仿真标准串口,用于升级原串口外围设备,或者通过USB 增加额外串口。 ● 计算机端Windows 操作系统下的串口应用程序完全兼容,无需修改。 ● 硬件全双工串口,内置收发缓冲区,支持通讯波特率50bps~2Mbps。 ● 支持5、6、7 或者8 个数据位,支持奇校验、偶校验、空白、标志以及无校验。 ● 支持串口发送使能、串口接收就绪等传输速率控制信号和MODEM 联络信号。 异步串口/RS232/RS485/RS422 转换并口打印机为USB 打印机 EPP 并口和
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:633033
    • 提供者:张哲
  1. serial

    0下载:
  2. 串行口数据传输实验,vhdl源代码,完成信号发生,串并转换,检测电路
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1122
    • 提供者:yew
  1. tushuguan

    0下载:
  2. --功能描述 --1 刷卡后产生与本人身份唯一对应的串行二进制码元序列,作为模拟系统的输入信号(此处不妨设为8位学生学号)。 --2 经过串并转换,序列变成一个8位二进制数。 --3 遍历预先存储在rom中的学号信息,逐一和这个8位数相比较,如果有相匹配的信息,显示欢迎字样(此处用一个高电平表示),同时打开栅栏门(也用一个高电平表示)。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1494
    • 提供者:leizi
  1. 5

    0下载:
  2. 串并转换程序,由串行输出转换为4位的并行输出
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2983
    • 提供者:Hargie
  1. Verilog_serdes

    0下载:
  2. 用verilog写的串并转换程序,希望对大家有用!
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1228
    • 提供者:janew
  1. D20CPUTEST

    0下载:
  2. 通过环路串口判断串行通信电平转换芯片功能是否正常,并通过读写DRAM 判断硬件。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1376
    • 提供者:sdf168
  1. zzky081

    0下载:
  2. 串行接受AD转换数值并显示成图象-Serial Numerical AD converter to accept and display the images
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:570978
    • 提供者:刘愉
  1. pn_code

    0下载:
  2. 系数为4的扰码生成器,并每四位扰码产生一个触发串并转换的触发信号,可用于4b/5b编码的触发信号。verilog程序,带test程序-coefficient of the four scrambler generator, and every four scrambler have triggered a string conversion and the trigger signal can be used to trigger 4b/5b coding signal. Verilog pro
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36602
    • 提供者:高广鹤
  1. 计算机端口介绍

    0下载:
  2. 相应的软件开发平台与硬件工具,并对ATmega128一些硬件接口在实际应用中的软/硬设计方法与技给出深入和细致的使用指南。 ... 转换器(8535)的使用,异、同步串口通信,软件DAA等;有的属于对传统程序的优化,如对简易键盘LED显示管理,精确定时及-corresponding software development platform and hardware tools, and hardware interface ATmega128 some of the practical app
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:11026
    • 提供者:张欣
  1. lx

    0下载:
  2. 设计目的 加深对语法分析程序的任务及其工作原理的理解;掌握语法分析程序的实现方法;掌握简单语言的状态转换图表示形式极其识别程序的构造。 设计任务 编写对简单语言进行语法分析的词法分析程序。并对输入串for I=1to 20 do {x:=x+2 y:=y*(2+c) }} 进行语法分析,要求如下: 1。识别其中的关键字,标识符,常数,运算符和界符。2,对其中的数字注明其值和类型 3。将识别的结果填入表中并输出。-designed to deepen the syntax analysis pro
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1152
    • 提供者:刘向
  1. javaNIO

    0下载:
  2. 一系列缓冲区类支撑起了 Java 2 平台标准版的新 I/O(NIO)包。这些类的数据容器形成了其它 NIO 操作(如套接字通道上的非阻塞读取)的基础。在本月的 Merlin 的魔力中,常驻 Java 编程专家 John Zukowski 展示了如何操作那些数据缓冲区来执行如读/写原语这样的任务以及如何使用内存映射文件。在以后的文章里,他将把这里所提到的概念扩展到套接字通道的使用。 Java 2 平台标准版(Java 2 Platform Standard Edition,J2SE)1.4 对
  3. 所属分类:文档资料

  1. MC9S12DG128B.rar

    0下载:
  2. 飞思卡尔S12 系列单片机系统硬件设计,详细介绍了该单片机的硬件使用,为全中文,MC9S12DG128B 有16路AD 转换,精度最高可设置为10 位;有8 路8 位PWM 并可两两级联为16 位精度PWM,特别适合用于控制多电机系统。它的串行通信端口也非常丰富,有2 路SCI,2 路SPI 此外还有IIC,CAN总线等端口,并且采用了引角复用功能,使得这些功能引角也可设置为普通的IO 端口使用。此外 它内部还集成了完整的模糊逻辑指令,可大大简化我们的程序设计。,Freescale s S12
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1228356
    • 提供者:宁海
  1. adc_portd__sch_

    0下载:
  2. A/D模块,压力测量系统设计。采用PIC16F877单片机,此型号有8路A/D转换通道,可将压力传感器输出的1--5V的电压信号转换为压力数值,并通过串口发送到上位机,通过串口调试助手即可查看数据。-A/D module, the pressure measurement system design. The use of PIC16F877 microcontroller, this model has eight A/D converter channel, the pressure sen
  3. 所属分类:source in ebook

    • 发布日期:2016-01-27
    • 文件大小:7168
    • 提供者:宋军荣
  1. bsconvert

    0下载:
  2. 基于FPGA的实现数据串并转换的程序,可以把8位串行数据转换为8位并行数据,或把8位并行数据转换为8位串行数据等-FPGA-based string and data conversion procedures, can be 8-bit serial data into 8-bit parallel data, or the 8-bit 8-bit parallel data into serial data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:228706
    • 提供者:于风
  1. Ad7708atmega128uart

    0下载:
  2. Atmega128 操作模数转换芯片AD7708,并送串口给上位机的详细完整代码,开发环境是Winavr2008.-Atmega128 operating a/D conversion chip AD7708, and sent to the serial port to the host computer of the detailed code, development environment is Winavr2008.
  3. 所属分类:Com Port

    • 发布日期:2017-04-01
    • 文件大小:4486
    • 提供者:lwqq
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 25 »
搜珍网 www.dssz.com