CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 并串转换

搜索资源列表

  1. 21IC ARM微控制器LPC210X的LCD接口技术

    0下载:
  2. 摘要:本文分别以GPI0口直接连接、串行转换连接、CPLD分部连接三种方法阐述了无外部总线的Philips ARM微控制器LPC210X与点阵图形液晶显示器的接口设计,并给出硬件电路框图和主要程序。 -Abstract : GPI0 I were to directly connect a serial link, connecting CPLD Division three methods described without external bus Philips LPC210X ARM
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:7462
    • 提供者:小陈
  1. mp3if

    0下载:
  2. 通过CPLD将8位并行数据转换为串行数据并可以采用I2C方式与其他器件连接,可以用于MCU需要与提供I2C接口器件通信的场合。-through CPLD to eight parallel data into serial data and methods can be used I2C connections with other devices, which can be used to provide MCU with I2C Interface Communications occasi
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1205
    • 提供者:hcguan
  1. rdcom

    0下载:
  2. 测试串口产生的二进制数据,并对二进制数据转换成十六进制数据显示。-serial testing of binary data, as well as binary data conversion into hexadecimal data.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:2301
    • 提供者:李伟光
  1. MCUTool

    0下载:
  2. 单片机开发过程中用到的多功能工具,包括热敏电阻RT值--HEX数据转换;3种LED编码;色环电阻计算器;HEX/BIN 文件互相转换;eeprom数据到C/ASM源码转换;CRC校验生成;串口调试,带简单而实用的数据分析功能;串口/并口通讯监视等功能. 用C++ Builder开发,无须安装,直接运行,不对注册表进行操作。纯绿色软件。-microcontroller used in the process of developing the multi-purpose tools, includ
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:443981
    • 提供者:wudizh
  1. comm_maintain

    1下载:
  2. 串口收发工具,包括MODBUS、CDT、FDK等多种通用规约解释,并包括UNICODE码转换程序-serial transceivers tools, including MODBUS, CDT, FDK other common interpretation of the statute. and including UNICODE code conversion
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:2350667
    • 提供者:liuxing
  1. chuanxingzhuanhaunbingxing

    0下载:
  2. 通过多通道串-并转换器将多个同步串行数据流转换为并行数据-through multi-channel serial-to-parallel converter multiple synchronous serial data streams converted to parallel data
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:52494
    • 提供者:胡飞逸
  1. u-uart

    0下载:
  2. 一个可综合的串并转换接口verilog源代码-a comprehensive series of conversion and interface Verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5599
    • 提供者:李文文
  1. TLC2543profile

    0下载:
  2. TLC2543的中文简介,附有汇编操作,TLC2543是德州仪器公司生产的12位开关电容型逐次逼近模数转换器,它具有三个控制输入端,采用简单的3线SPI串行接口可方便地与微机进行连接,是12位数据采集系统的最佳选择器件之一。本文介绍了该芯片的功能、时序,并给出了8051单片机的接口电路。-TLC2543 briefed the Chinese, with the compilation operation, TLC2543 is by TI Switched-Capacitor 12-succe
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:31377
    • 提供者:杨柳
  1. S2P_xapp194

    0下载:
  2. VHDL,verilog串并转换源程序 Xilinx公司参考资料-VHDL, verilog Series and conversion company Xilinx reference source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:27291
    • 提供者:苏翔
  1. Hitachi_H8S_H8300_Series

    0下载:
  2. 日立H8/300H Tiny、H8/3664系列单片机编程 日立H8/3664系列单片机是使用H8/300H高速处理器并以其为核心设计的单片机,为了配置一个系统需要许多外部功能模块。H8/300H高速处理器使用与H8/300处理器兼容的指令系统。 日立H8/3664系列单片机有4个定时器,一个 总线接口,两种不同类型的串行总线 接口,一个A/D转换器,一组I/0接口。本产品适用于高级控制系统的嵌入式应用。 日立H8/300H Tiny 系列(H8/3664系列)使用说明书包含了一
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3369460
    • 提供者:赵飞
  1. SPtransform

    0下载:
  2. Verilog HDL编写的串并转换。采用iout类型口。包含源文件和测试文件。用Modsim编译。-Verilog HDL Series and the preparation of the conversion. I used iout types. Includes source and test papers. Modsim compiler used.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1026
    • 提供者:曹光明
  1. MSC51_PC_Communicaton

    0下载:
  2. 这是一个51单片机与PC的通信系统:利用3*4小键盘输入0~9的一串数字(不大于5),通过8051自带的串口发送给PC并显示在系统的6位LED上;单片机接收上位机的输入并显示在LED上。8051串行口经232电平转换后,与PC机串行口相连。PC机使用串口调试应用程序V2.2.exe,实现上位机与下位机的通讯。-This is a 51 microcontroller and PC communication system : use 3 * 4 0 small keyboard input of
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:204344
    • 提供者:Jawen
  1. data_transfer

    0下载:
  2. 同步串行数据发送电路SSDT的基本功能是将并行数据转换成串行数据并进行同步发送。系统写入和读出时序完全兼容Intel8086时序。 系统以同步信号开始连续发送四个字节,在发送中出现5个1时插入一个0,在四个数据发送结束而下一次同步没有开始之前,发送7FH,这时中间不需要插入零 -synchronous serial data transmission circuit SSDT the basic function is to convert parallel data into seri
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:556966
    • 提供者:chengp
  1. TOKEN_vrilog

    0下载:
  2. 同步串行数据发送电路SSDT的基本功能是将并行数据转换成串行数据并进行同步发送。系统写入和读出时序完全兼容Intel8086时序。 系统以同步信号开始连续发送四个字节,在发送中出现5个1时插入一个0,在四个数据发送结束而下一次同步没有开始之前,发送7FH,这时中间不需要插入零 -synchronous serial data transmission circuit SSDT the basic function is to convert parallel data into seri
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:363659
    • 提供者:chengp
  1. app_ADC_with_digit_8_led_by_kkyou

    0下载:
  2. 电压计(c语言编写的) 通过led灯显示 电路图说明: 1、R1、R2、D1、D2 组成发光二极管显示,显示过压或过流状态; 2、PC3外接模拟信号 采用内部参考电压所以AREF传电容接地抗干扰AVCC接VCC提供AD转换电压 3、PC5提供164的时钟信号 PC4提供串行输入信号 164具有10ma的输出电流,又具有串入并出以及信号锁存的动能,数码管又为共阴管,所以无须另加驱动 这里采用静态显示 4、现以在程序中加入小数点显示
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:306536
    • 提供者:赵斌斌
  1. znlubj

    0下载:
  2. 实现智能大厦自动报警系统主要是通过串口通信来实现的。计算机对数模转换设备发送到端口的数据进行处理,并根据用户摄设置信息作出相应动作,同时通知大厦管理人员,确保万无一失。-Intelligent Building automatic alarm system is mainly through serial communications to achieve. Computer digital-to-analog converter equipment sent to the port handl
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:4270638
    • 提供者:不是神
  1. ha0123(2)

    0下载:
  2. 设计一个实用的小型类C语言的编译器,能够通过词法分析程序将一串字符流转换为一组单词,并能够通过语法分析程序将该单词组转换为中间代码,中间代码可为四元组等各种形式。-practical design a small category C language compiler. through lexical analysis procedure to a bunch of characters flow into a group of words Grammar can pass this word
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:16500
    • 提供者:李想
  1. bingchuanzhuanhuan

    1下载:
  2. 基于Xilinx FPGA ,串并转换模块
  3. 所属分类:VHDL编程

  1. VB

    1下载:
  2. 实现GPS和导航系统的连接,必须要解决计算机和GPS接收机的串口通信问题。在计算机和GPS接收机的数据传输过程中,计算机的串口(COM)作为计算机CPU和串行设备间的编码转换器,提供了计算机与GPS接收机之间的数据传输通道。而GPS接收机作为数据终端设备,将采集到的导航定位数据通过计算机串口传输给计算机,并为导航系统使用。在Windows环境下可以通过Visual Basic编程语言及其提供的专门用于串口通信的MSComm控件,实现GPS接收机与计算机的串口通信。 二、GPS输出的数据格(Re
  3. 所属分类:GPS编程

    • 发布日期:2019-10-03
    • 文件大小:63488
    • 提供者:sadsasad
  1. 串口接收程序

    1下载:
  2. 异步串口接收程序,主要功能是将异步串口转换成8位并口数据,数据格式为8位数据、1个停止位、1个停止位、无校验位,可以自行设置波特率。
  3. 所属分类:VHDL编程

« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 25 »
搜珍网 www.dssz.com