CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 并串转换

搜索资源列表

  1. s_pandp_s

    0下载:
  2. 用VHDL编写的并串转换和串并转换实例,希望对您有所帮助,其中输入数据是时钟的16倍-prepared using VHDL and string conversion and string conversion and examples, and I hope to help you, the input data which is 16 times the clock
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1824
    • 提供者:zhou
  1. IIS2BT656

    0下载:
  2. 本程序功能为将音频的IIS数据插入bt656数据中一起传输。在程序中,sdata并不从外界输入,而是由内部的一个16位的counter并串转换产生,以此来检测程序在串并转换sdata时是否有遗漏。 本程序并未经过实测,但ModelSim的仿真结果正确。-this program will function as audio data into IIS bt656 together data transmission. In the process, not from outside sdat
  3. 所属分类:Audio

    • 发布日期:2008-10-13
    • 文件大小:306232
    • 提供者:龙子
  1. SPI-PRT

    0下载:
  2. 昨天在论坛上看到有人帖出了他写的并串转换VHDL代码,但是他自己说有问题,但是不知道怎么改。我大概看了一下,发现思路还是比较乱的。于是就写下了我自己的并串转换代码。-yesterday at the forum see someone points out his writing and string conversion VHDL code, But he said there are problems, but does not know how reform. I probably wat
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1013
    • 提供者:ZHAOBOO
  1. pcm13

    0下载:
  2. PCM采编器器系统是一种常用的遥测设备,它可以采集多路数据并进行通信传输和数据处理,PCM 采编器控制采集各个数据通道数据的时序,并加上帧同步码形成一定格式的数据,再进行并/串转换,形成串行数据流送到调制设备供传送。-PCM Editor System is a common telemetry equipment, It can be multi-channel data acquisition and communication transmission and data processin
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:876
    • 提供者:张全文
  1. xapp514_hd-integ-demobrd

    0下载:
  2. SDI接口的源程序,包括扰码编码,并串转换,用VHDL硬件描述语言编写-SDI interface of the source, including interference coding and string conversion, using VHDL hardware descr iption language
  3. 所属分类:编辑器/阅读器

    • 发布日期:2008-10-13
    • 文件大小:382049
    • 提供者:李西军
  1. p2s16_1

    0下载:
  2. 前段时间看见有人在网上求并串转换的程序,今天闲了,就编了一个供大家参考一下。 其实是很简单的,只要理清思路,还是很容易的 。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1524
    • 提供者:谢白玉
  1. lunwen

    0下载:
  2. 本文论述了在整个无线收发系统中用软件的方法实现信道编译码系统的功能。实现了一种基于FPGA的信道编译码方法,并给出了VHDL语言的实现方法及仿真波形。信道编译码系统包括发射端的信道编码和接收端的信道译码两大部分。信道编码部分包括汉明编码、基带信号调制本次设计采用DPSK调制方式和并串转换连接模块。译码部分包括汉明译码、DPSK解调和链接模块。本系统的实现过程是:先通过软件编程实现各部分的功能模块,然后编程连接各模块,系统编译仿真通过以后载入FPGA(现场可编程门阵列) 芯片,验证结果。实验表明,
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:416597
    • 提供者:赵冉
  1. sditest

    0下载:
  2. 基于ep3c25的altera sdi ip核的使用,串并转换和并串转换
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1478193
    • 提供者:林丹
  1. bc_6

    0下载:
  2. 实现6位数据宽度的并串转换,编译和仿真完美实现,编程环境Quartus.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1698
    • 提供者:kehaiying
  1. 1253

    0下载:
  2. 基于VHDL语言的并串转换程序,有四位的并行输出转换为串行输出
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3264
    • 提供者:Hargie
  1. 单片机串口编程

    0下载:
  2. 单片机串口编程---包含串并转换电路驱程序,驱动光二极管闪动程序, 串口发送和接收程序!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2010-12-13
    • 文件大小:9824
    • 提供者:dqjyong
  1. 基于FPGA的串并转换程序

    2下载:
  2. 基于FPGA的串并转换程序,8位并行。调试仿真成功,内附仿真波形文件
  3. 所属分类:串口编程

    • 发布日期:2011-11-10
    • 文件大小:1857669
    • 提供者:zwp0822@126.com
  1. jdcbzh.使用VHDL语言实现串并转换模块的实现

    0下载:
  2. 使用VHDL语言实现串并转换模块的实现,可在QUARTUS上实现,Use VHDL language string and conversion module, but in QUARTUS
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:1349
    • 提供者:吴丹
  1. parell_to_serial.rar

    0下载:
  2. 该模块主要完成并串转换功能。其中system_clk是输入并行时钟的频率,它是串行时钟serial_clk的八倍。byte_data_en是输入并行数据使能信号,byte_data是输入并行数据。serial_data是转换后的串行数据,bit_data_enable是串行数据有效信号。,The module main is completed and the string conversion functions. System_clk which is an input parallel c
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:840
    • 提供者:huangdecheng
  1. A8.rar

    0下载:
  2. 两个进程的并串转换设计,VHDL的Xilinx的开发环境,Two processes and string conversion design, VHDL for Xilinx development environment
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:44854
    • 提供者:高阳
  1. zzx

    0下载:
  2. 这个并串转换代码是依靠同步状态机来实现其控制的。其实并串转换在实际的电路中使用还是比较多的,尤其在通信线路方面的复用和分解方面,原理上就是一个串并转换和并串转换的过程。举个简单的例子,计算机串口发送数据的过程,如果满足发送条件了,其实就是一个并串转换的过程了。好了,废话不说,看代码就是。 写完一看,一个并串转换居然搞了这么大,有点失败。但是整个代码已经通过了后仿真,而且思路还是比较清楚的,可靠性和稳定性方面也应该没有问题滴,呵呵。不过说老实话,里面有些信号是确实可以去掉的,不过后来就懒
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7011
    • 提供者:zzx
  1. chuanbingzhuanhuan

    0下载:
  2. 这个并串转换代码是依靠同步状态机来实现其控制的。其实并串转换在实际的电路中使用还是比较多的,尤其在通信线路方面的复用和分解方面,原理上就是一个串并转换和并串转换的过程。举个简单的例子,计算机串口发送数据的过程,如果满足发送条件了,其实就是一个并串转换的过程了。好了,废话不说,看代码就是。 -And the string conversion of the code is relying on the synchronization state machine to achieve its c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1009
    • 提供者:盛忠良
  1. gencontrol

    0下载:
  2. 高速任意波形产生器控制模块 控制NCO,FIFO,并串转换-hign-speed wfgenerator control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:545
    • 提供者:ted yang
  1. 68140323

    0下载:
  2. vhdl实现了串并转换,和并串转换,可供大家参考学习!-vhdl realized and string conversion, and and the string conversion, for your reference to learn!
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1549
    • 提供者:欧影
  1. 电位器AD并串口通信(8位)

    0下载:
  2. 利用xpt2046的ad转换功能转换电位器的模拟量,晶码管显示并通过串口通信发到电脑,利用串口调试助手显示数据(The analog converter of potentiometer is transformed by xpt2046's AD conversion function. The crystal code tube is displayed and sent to the computer through serial port communication, and the d
  3. 所属分类:单片机开发

    • 发布日期:2018-05-03
    • 文件大小:35840
    • 提供者:赶路人
« 1 23 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com