CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 并串转换

搜索资源列表

  1. ADC8051F410

    0下载:
  2. 使用C8051F410进行的AD转换程序,并带有与计算机的串口通信-C8051F410 carried out using the AD conversion process, and with the computer' s serial communication with the
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:56355
    • 提供者:宋立刚
  1. STC12C5A60S2.pdf

    3下载:
  2. STC12C5A60S2/AD/PWM系列单片机是宏晶科技生产的单时钟/机器周期(1T)的单片机,是高速/低功耗/超强抗干扰的新一代8051单片机,指令代码完全兼容传统8051,但速度快8-12倍。内部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换(250K/S),针对电机控制,强干扰场合。 1.增强型8051 CPU,1T,单时钟/机器周期,指令代码完全兼容传统8051; 2.工作电压:STC12C5A60S2系列工作电压:5.5V-3.3V(5V单片机)STC12LE5A
  3. 所属分类:编程文档

    • 发布日期:2012-10-18
    • 文件大小:1967339
    • 提供者:howard_dai
  1. 485

    1下载:
  2. 工程名称:串口+485发送程序 控 制 器: ATmega16 晶 振: 8.0000Mhz 创建时间:2009年2月18日 说 明:CPU通过串口接RS485发送数据,终端PC机通过 RS485转RS232转换器接入PC机,使用串口调试 助手发送数据并接收数据。 端口配置: CPU RS485 RXD RO TXD DI PD6 DE,/RE-Project Name: serial port+485 sending program contro
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:1055
    • 提供者:liujianhu
  1. ps

    0下载:
  2. VHDL语言编写的串并转换模块的源代码,用来将并行输入数据转换为串行数据输出-code for the transform of ps
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1475
    • 提供者:李明
  1. serial_dm365

    0下载:
  2. tms320dm360下载,烧写。并集成了UBL和UBOOT移植版本,能正确的下载调试。有做好的.OUT转换为.BIN的批处理文件,和串口下载批处理-tms320dm360 download, programming. And integrated version of UBL and UBOOT transplantation can correct download debugging. There do a good job. OUT convert. BIN batch file, ba
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-29
    • 文件大小:373475
    • 提供者:york
  1. FPGA-design-ideas-and-techniques

    1下载:
  2. FPGA 设计的四种常用思想与技巧包括:乒乓操作,流水线操作,串并转换技巧,数据接口同步方法-The four commonly used FPGA design ideas and techniques include: ping-pong operation, pipelining, and convert the string technique, synchronous data interface methods
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-27
    • 文件大小:108544
    • 提供者:salvary
  1. HDLC

    3下载:
  2. hdlc设计,包括flag检测,插0、串并转换等设计,采用verilog编程。-hdlc design, using verilog
  3. 所属分类:串口编程

    • 发布日期:2017-05-23
    • 文件大小:4697
    • 提供者:何正文
  1. STC60S2_UART

    0下载:
  2. STC12C5A60S2获取AD转换数据存入内置的eeprom,并将其通过串口传送给电脑,-STC60S2 for AD conversion data into the built-in eeprom, and send to the computer through the serial port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:32204
    • 提供者:wangchuwu
  1. SHT11

    0下载:
  2. 温湿度传感器SHT11的例程 SHT1x (包括 SHT10, SHT11 和 SHT15) 属于 Sensirion温湿度传感器家族中的贴片封装系列。 传感器将传感元件和信号处理电路集成在一块微型电路板上,输出完全标定的数字信号。传感器采用专利的CMOSens. 技术,确保产品具有极高的可靠性与卓越的长期稳定性。传感器包括一个电容性聚合体测湿敏感元件、一个用能隙材料制成的测温元件,并在同一芯片上,与14 位的A/D 转换器以及串行接口电路实现无缝连接。因此,该产品具有品质卓越、响应
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:2974
    • 提供者:风雨
  1. ppfilter

    0下载:
  2. QAM调制函数,对产生的二进制数进行qam调制,调制原理是对产生的二进制数进行串并转换,然后分为两路再进行qpsk调制-QAM modulation function, the resulting binary number for QAM modulation, modulation principle is generated binary number and converted to string, and then further divided into two QPSK modul
  3. 所属分类:3G develop

    • 发布日期:2017-04-07
    • 文件大小:1228
    • 提供者:mhx
  1. CAN

    0下载:
  2. CAN51开发板功能 1、 USB或DC+5V供电; 2、 89c52+sja1000+tja1050(tja1040)(p82c250);89c52+mcp2515+tja1050(tja1040)(p82c250);通过跳键选择sja1000或 mcp2515,用户任选一种CAN控制芯片; 3、 支持RS232/422/485总线与CAN总线相互转换; 4、 CAN总线波特率可调:20,40,50,80,100,125,200,250,400,500
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:2058
    • 提供者:吴庆庆
  1. STC12C5412ADADCandUART

    0下载:
  2. 在KEILC下实现STC12C5412AD的三通道A/D转换程序,并用串口显示,软硬件结合,调试通过,程序稳定,请放心使用,并多提意见。由于本人以前用的是汇编开发,现刚改为C,所以可能程序不是很地道。-KEILC under STC12C5412AD in the three-channel A/D conversion process, and serial shows that combination of hardware and software, debugging is passed
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:1137
    • 提供者:李文强
  1. ADC_2430_test

    0下载:
  2. 包中包含3个CC2430的A/D转换测试程序。程序基于IAR软件编写的。分别通过A/D通道采集片内温度、电压并转化为数字信号,然后通过串口发送到PC,在PC机可以看到采样结果。估计对开发无线传感器网络的相关人士比较重要。 -Package includes three CC2430 the A/D converter test procedure. Procedures prepared based on the IAR software. Through A/D channel acquisi
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:51014
    • 提供者:李想
  1. datastructure

    0下载:
  2. 本学期所有数据结构的大作业一,设A与B分别为两个带有头结点的有序循环链表(所谓有序是指链接点按数据域值大小链接,本题不妨设按数据域值从小到大排列),list1和list2分别为指向两个链表的指针。请写出将这两个链表合并为一个带头结点的有序循环链表的算法。二,本次实验的题目为表达式求值,要求设计一个程序,演示用算符优先法对算术表达式求值的过程。 即编写程序把前缀表达式转换成后缀表达式,并计算结果。用以下三组数据测试程序: 3*(7-2); 2*(6+2*(3+6*(6+6)))+(6+
  3. 所属分类:Data structs

    • 发布日期:2017-04-14
    • 文件大小:5592
    • 提供者:张欣
  1. BSTAVT

    1下载:
  2. 本学期所有数据结构的大作业一,设A与B分别为两个带有头结点的有序循环链表(所谓有序是指链接点按数据域值大小链接,本题不妨设按数据域值从小到大排列),list1和list2分别为指向两个链表的指针。请写出将这两个链表合并为一个带头结点的有序循环链表的算法。二,本次实验的题目为表达式求值,要求设计一个程序,演示用算符优先法对算术表达式求值的过程。 即编写程序把前缀表达式转换成后缀表达式,并计算结果。用以下三组数据测试程序: 3*(7-2); 2*(6+2*(3+6*(6+6)))+(6+
  3. 所属分类:Data structs

    • 发布日期:2017-05-08
    • 文件大小:1608288
    • 提供者:张欣
  1. adc12_UART

    0下载:
  2. 对于MSP430F169,采用单通道单次转换方式,对接口板上的一路模拟信号P6.0(0~3.3V可调电压)进行A/D转换,并将12位转换结果通过串口发到PC机,由PC机的串口助手显示出来。-For MSP430F169, the use of single-channel single conversion by means of an interface board all the way analog signal P6.0 (0 ~ 3.3V adjustable voltage) for
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:1657
    • 提供者:沈丽
  1. CH341-to-232

    0下载:
  2. 概述 ● 全速设备接口,兼容 USB V2.0,外围元器件只需要晶体和电容。 ● 可选:通过外部的低成本串行EEPROM 定义厂商 ID、产品 ID、序列号等。 ● 支持5V电源电压和3.3V电源电压。 ● 低成本,直接转换原串口外围设备、原并口打印机、原并口外围设备。 ● 采用SOP-28封装,串口应用还提供小型的 SSOP-20 封装。 ● 由于是通过USB转换的接口,所以只能做到应用层兼容,而无法绝对相同。 -Say all ● The full s
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-01
    • 文件大小:326139
    • 提供者:胜客
  1. AT89C51

    0下载:
  2. 随着微电子技术的不断发展,微处理器芯片的集成程度越来越高,单片机已可以在一块芯片上同时集成CPU、存储器、定时器/计数器、并行和串行接口、看门狗、前置放大器、A/D转换器、D/A转换器等多种电路,这就很容易将计算机技术与测量控制技术结合,组成智能化测量控制系统。这种技术促使机器人技术也有了突飞猛进的发展,目前人们已经完全可以设计并制造出具有某些特殊功能的简易智能机器人。-With the continuous development of microelectronic technology,
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:65175
    • 提供者:林飞
  1. ADC

    0下载:
  2. 用ATMEGA16实现AD转换并通过串口将数据传回PC机-AD conversion with ATmega16 realize serial data through PC-return
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:27097
    • 提供者:朱蛛
  1. SPCE061A_MAX_Sub_good

    0下载:
  2. 凌阳子程序大全+++趣味语音识别程序+++61板自检程序+++语音电子钟程序+++语音识别且识别过程在图形液晶显示模组LCD上显示实验+++复读机程序+++数据传送指令演示程序+++冒泡排序程序+++LED键盘模组自检程序+++led单向循环流水灯程序+++点亮IOA口外接LED键盘模组的不同的led来显示按键输入值+++外部中断实验+++触键唤醒实验+++IRQ5,4,6时基信号中断实验+++定时器实验+++定时器A/B的irq0、irq1和irq2中断实验+++双路DAC实验+++ADC转换
  3. 所属分类:assembly language

    • 发布日期:2017-05-23
    • 文件大小:7630118
    • 提供者:人生苦短
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 25 »
搜珍网 www.dssz.com