CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波发生器

搜索资源列表

  1. STM32

    0下载:
  2. 用STM32做的正弦波发生器固件,直接烧写到STM32即可。-With STM32 do sine wave generator firmware
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-07
    • 文件大小:2663
    • 提供者:zz
  1. vhdl

    0下载:
  2. VHDL实验报告 基于ROM的正弦波发生器的设计-VHDL experiment reports the ROM-based sine wave generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2133
    • 提供者:天行者
  1. verilog_sine-wave-generator

    0下载:
  2. verilog语言书写的基于DDS相频累加器的正弦波发生器-verilog language of the sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:13215
    • 提供者:任健铭
  1. sinwave

    0下载:
  2. 基于51开发板的正弦波发生器,供初学者参考,可以自己调节频率和峰值,压缩包内包含完整的keil工程文件-Sine wave generator of the 51 development board, reference for beginners, and can adjust the phase and peak compression keil project file contains a complete package
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:31840
    • 提供者:l
  1. exp13a_sinwavegen_Sim

    0下载:
  2. 数字振荡器正弦波发生器本实验先在软件仿真器平台上产生一个频率为500Hz,采样率为1.6kHz,幅度为0.25v的正弦波,然后在此基础上修改程序,在硬件仿真器平台上再实现频率为1kHz,采样率为1.6kHz,幅度为0.25v的正弦波。-Digital oscillator sine wave generator
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:6168
    • 提供者:虚张声势
  1. 2[1]

    0下载:
  2. 是一款正弦波发生器的反震电路图,具有产生正弦波的功能,可以调节幅度频率,具有很多的功能-If other members to download once you upload the source code, your downloads will be available 1. More explanation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:25338
    • 提供者:王伟
  1. 51

    0下载:
  2. 正弦波发生器,能生成正弦波,三角波,矩形波的doc文件。-The sine wave generator, can generate sine wave, triangle wave, square wave doc files.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:80254
    • 提供者:沉寂
  1. sinw

    0下载:
  2. 用verilog写的正弦波发生器,QuartusⅡ环境-Sine wave generator written in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:521443
    • 提供者:杀虫剂
  1. dds-5

    2下载:
  2. 基于FPGA cyclone III EP3C16F484C6的dds正弦波发生器,频率可调-the dds sine wave generator based on the FPGA cyclone III EP3C16F484C6 , frequency adjustable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:494945
    • 提供者:陈诗雨
  1. Sine-wave-generator

    0下载:
  2. 正弦波发生器,内有TESTBENCH文件,输出时钟和复位信号,用于仿真。用MEDELSIM仿真,然后仿真通过。-The sinewave generator within have TESTBENCH file output clock and reset signals for simulation. With MEDELSIM emulation, and simulation through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:608772
    • 提供者:麦飞
  1. MY_SINE

    0下载:
  2. 另一种方法建立三角波正弦波发生器程序。较易更改相位。-Another way to create a triangle wave sine wave generator program. Easier to change the phase.
  3. 所属分类:software engineering

    • 发布日期:2017-11-27
    • 文件大小:254758
    • 提供者:文教普
  1. DDSVHDLCODE

    0下载:
  2. 本人收集的多个VHDL语言编写的正弦波发生器以及SPWM程序。-I collected multiple VHDL language of sine wave generator SPWM program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:4635648
    • 提供者:
  1. sin

    0下载:
  2. 正弦波发生器,基于五一单片机的正弦波发生器,发生频率稳定,波质良好。适合制作示波器代码。-Sine wave generator, sine wave generator based on five one single chip microcomputer, frequency stability, wave quality. Suitable for the production of oscilloscope code.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-28
    • 文件大小:7763
    • 提供者:king
  1. sin

    0下载:
  2. vhdl语言写的基于rom的正弦波发生器,包含代码和仿真图-VHDL language used to write rom-based sine wave generator contains code and simulation Figure
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:71625
    • 提供者:张瑞萌
  1. wave

    0下载:
  2. 用iar开发的正弦波发生器,可用于spwm哦,有用的惠存-With the the iar development of the sine wave generator, can used for spwm Oh, useful Huicun
  3. 所属分类:SCM

    • 发布日期:2017-11-16
    • 文件大小:1120
    • 提供者:man
  1. 51 design单片机波形发生器

    0下载:
  2. 51 design单片机波形发生器,可以发生矩形波,正弦波等波形-51 design
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-11-07
    • 文件大小:2185
    • 提供者:王鹏涛
  1. f_changed_sin_wave

    0下载:
  2. 用RAM实现频率可调正弦波发生器,开发环境:Quartus8.0-To frequency tunable sine wave generator development environment: Quartus8.0 using RAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:1194171
    • 提供者:赵东方
  1. sin-creater

    0下载:
  2. 本文通过一种较简单的方法,设计了频率可调的正弦信号函数发生器。本文设计的正弦波发生器通过改变取点的步长实现频率可调,通过加法器获得输出点地址,调用ROM存储单元的数据,实现了正弦波发生器的设计。包括源码以及仿真结果,完整实验报告~-This article through a relatively simple method, the design of the sinusoidal signal of the frequency tunable function generator. Pape
  3. 所属分类:Project Design

    • 发布日期:2017-11-23
    • 文件大小:286555
    • 提供者:刘雅琦
  1. xinhao

    0下载:
  2. 简易信号发生器,可输出三种波形,递增锯齿波发生器模块,正弦波发生器模块,方波发生器模块,波形选择器模块,vhdl-Simple signal generator can output three waveforms, incremental sawtooth generator module, the sine wave generator module, a square wave generator module, waveform selector module, vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:60459
    • 提供者:沈微
  1. cos-fangshengqi

    0下载:
  2. 正弦波发生器的产生,让你有VHDL设计一个正弦波发生器-The generation of the sine wave generator, VHDL design of a sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:565291
    • 提供者:马金柱
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 37 »
搜珍网 www.dssz.com