CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位

搜索资源列表

  1. CPU

    3下载:
  2. 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右移4种移位运算,支持Load/Store操作,支持地址/立即
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:43474
    • 提供者:haotianr
  1. verilog

    0下载:
  2. 一个桶形移位寄存器的.v文件,含testbench
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1169
    • 提供者:QU YIFAN
  1. HEX_7_BCD

    0下载:
  2. 将双字节16进制数转换为可用于数码管显示用的7段码,直接输入移位寄存器即可正常显示。附带注释,经验证完全可用。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1487
    • 提供者:王大成
  1. 74hc595

    0下载:
  2. 采用串行移位寄存器74HC595静态显示7段码
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:813
    • 提供者:王大成
  1. FIR3

    1下载:
  2. 用线性缓冲区和带移位双操作数寻址方法实现FIR滤波器 * *N=5,y(n)=h0*x(n)+h1*x(n-1)+h2*x(n-2)+h3*x(n-3)+h4*x(n-4)*
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:981
    • 提供者:hhh
  1. 8

    1下载:
  2. 移位相加8位硬件乘法器电路设计 乘法器是数字系统中的基本逻辑器件,在很多应用中都会出现如各种滤波器的设计、矩阵的运算等。本实验设计一个通用的8位乘法器。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5487
    • 提供者:jun
  1. Barker

    1下载:
  2. 实现基于逐码移位法的7位巴克码集中插入式搜索算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1112
    • 提供者:黄虎
  1. lecture3_VHDL

    0下载:
  2. 组合逻辑设计 时序逻辑设计 状态机等的vhdl语言设计原理与解说(包括一些基本的加法 计数 移位器 状态机等)
  3. 所属分类:GDI/图象编程

    • 发布日期:2008-10-13
    • 文件大小:334293
    • 提供者:xulina
  1. modes

    0下载:
  2. 1. 分析ECB模式和CBC模式的加密过程。 2. 用程序设计语言将算法过程编程实现。 3. 输入明文:I do like this book 加密算法E:异或⊕,密钥为cryption; 移位,密钥为5 两种填充模式:(1)0 (2)密文挪用 初始化向量IV:goodluck 用两种模式进行加密,输出相应的密文。
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:2568
    • 提供者:陈觅尘
  1. Billiard.V1.2.Src

    1下载:
  2. 花了接近1年的业余时间精心制作的一款台球/桌球游戏,声音与画面做了很多处理 软件界面可以更换皮肤 技术含量:DirectX8的建立3D引擎, 光投影反射,矢量的碰撞检测,动量守恒与反射定律,以及能量守恒定律,其中还有资源的加密处理移位反相... 非常强大的源码,现在无偿共享给大家。想学习DirectX编程的人必看,可作为精品源码收藏
  3. 所属分类:DirextX编程

    • 发布日期:2008-10-13
    • 文件大小:7282804
    • 提供者:宋芬
  1. babin

    0下载:
  2. 八位并入串出移位寄存器 利用3种状态LOAD,CLK,SOUT,系统时钟为输入的CLK,在这3种状态间变换。发送时,当CLK上升沿时,并且LOAD=1时,输出(SOUT)为并行输入量的最低位(即din(0)),当CLK是上升沿时,LOAD低电平时,输出为并行输入量的最低位(din(0))。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10892
    • 提供者:黄杰深
  1. linear_feedback_shift_register_unit

    0下载:
  2. 实现产生伪随机序列的部件 —— 线性反馈移位寄存器单元。 SFlog2为扩频因子的底数为2的对数值,cycle为PN序列的周期,其值为2^SFlog2。initial_state为移位寄存器的初始状态,generator_polynomial_coefficient为生成PN序列所需的本原多项式,对应于移位寄存器的连接向量。
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1148
    • 提供者:fisher
  1. RegisterCompreh

    0下载:
  2. 编码、通信中移位寄存器综合-coding, communications shift register integrated
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:8025
    • 提供者:李武军
  1. RegisterComprehMFC

    0下载:
  2. 编码、通信中移位寄存器综合MFC版本-coding, communications shift register integrated version of MFC
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:80023
    • 提供者:李武军
  1. 工具栏左右移位戴移位三角号

    0下载:
  2. 该工具栏代码例子提供了一个在工具栏上创建左右移动按钮,通过点击该按钮可以左右移动工具栏上按钮位置,这样可以创建一个比本身长度大的按钮个数,通过移动按钮显示当前在工具栏上显示的按钮。-the toolbar code examples provided in a toolbar button to create and move around, click on the button and move around a toolbar button positions, so it can est
  3. 所属分类:工具条

    • 发布日期:2008-10-13
    • 文件大小:28837
    • 提供者:张连成
  1. LFSR

    0下载:
  2. 自动生成线形反馈移位寄存器的各种HDL源代码和原理图的工具
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:163107
    • 提供者:zx
  1. jsq

    0下载:
  2. 部分函数: 处理n! 计算显示数值的阶乘 处理Exp,可用于显示科学计数法 处理x^y 处理sin,反正弦,双曲正弦,反双曲正弦,cos,tan函数,为什么使用了例如sin函数 与正确结果不一样 处理dms函数(将显示的数值转换为“度-分-秒”格式;Inv+dms把度-分-秒转换为10进制) 处理(FE)——打开或者关闭科学计数法,10^32总是以指数显示 取模,(余数) 计算机逻辑与,在运算之前截断小数部分 计算机逻辑或,
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:19705
    • 提供者:xjc
  1. shifter

    0下载:
  2. 双向可控移位寄存器及存储器设计实验 双向可控移位寄存器及存储器设计实验
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:29603
    • 提供者:发啊饿
  1. Time

    0下载:
  2. 时序控制器20080713,适用于时间工作,如模具各阀浇口不同时间的控制,如二 个阀浇口,就需要两只时序控制器(表)。 解敏超 88.8 88.8 1。六位数码管双行显示,四键控制,分别是“触发”,“修改/确定”,“移位”,“设置”。 2。上行是延时时间 3。下行是工作时间 4。延时,工作时间多可以修改保存 5。工作时为继电器输出,并有流水灯指示
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4185
    • 提供者:解敏超
  1. RFID_CRC

    0下载:
  2. 此程序是RFID中使用的一种CRC16校验原理,采用了移位法,查表法做好后再上传。此外,下载解压后要把文件后缀名改为.c !
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1307
    • 提供者:Davy
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 50 »
搜珍网 www.dssz.com