CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位

搜索资源列表

  1. 按键移位

    0下载:
  2. 按键控制程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2011-06-04
    • 文件大小:14414
    • 提供者:dpjyg@163.com
  1. 16*32点阵

    0下载:
  2. 16*32点阵驱动,实现左右上下移等功能,由一块74hc154译码器和74hc595移位寄存器作行和列驱动
  3. 所属分类:源码下载

  1. 8086指令

    0下载:
  2. 算术运算指令、逻辑运算与移位指令、字符串处理指令
  3. 所属分类:文档资料

  1. 在六个数码管滚动显示自己的学号(六位)

    0下载:
  2. 在六个数码管滚动显示自己的学号(六位),每隔一定时间循环移位一次,学号为奇数则左移,学号为偶数则右移。间隔时间可由开关选择1秒,2秒,3秒和4秒。-In the six LED scrolling display their student number (six), rotate once every certain period of time, learning number is odd, then the left, student number is even, then the r
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-25
    • 文件大小:373760
    • 提供者:
  1. LPT.rar

    0下载:
  2. 实现开漏输出的并口,支持3.3V或5V,支持FPGA 的PS 配置功能。8位配置数据 自动移位输出,输入时钟24MHz,产生1MHz配置时钟。8位CPU数据总线接口, 11位地址总线。支持IO 的置位清除功能。,The realization of open-drain output of the parallel port, support 3.3V or 5V, support for FPGA configuration of the PS function. 8-bit config
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2576
    • 提供者:tianrongcai
  1. paomadengyiwei.rar

    0下载:
  2. 单片机实验:跑马灯,通过移位的方式实现跑马灯的功能,程序非常简单,Single-chip experiments: Marquee, achieved through the translocation function of Marquee, the program is very simple
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:11763
    • 提供者:于丽
  1. 单片机的键盘和显示

    0下载:
  2. 单片机的键盘和显示,8031单片机的P1口作为8个按键的输入端,构成独立式键盘。四个LED显示器通过四个串/并移位寄存器74LS164接口至8031的串行口,该串行口应工作在方式0发送状态下,RXD端送出要显示的段码数据,TXD则作为发送时钟来对显示数据进行移位操作。 自定义每个键的显示功能,当某一键按下时执行相应的服务子程序,在四个显示器上显示一定的内容。 ,Single-chip microcomputer keyboard and display, the P1 port 8031 a
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-03-26
    • 文件大小:663
    • 提供者:丽红
  1. RSC.rar

    0下载:
  2. Turbo码编码器的两个分量编码器RSC,主要由四个移位寄存器和两个模2加法器组成,Turbo code encoder of the two component encoders RSC, mainly by the four shift register and the two-mode adder composed of 2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1025
    • 提供者:sunhao
  1. ALU.zip

    0下载:
  2. VHDL实现cpu核心逻辑与运算单元模块的实现,完成4bit*4bit输入8bit输出的运算,可做加减乘除逻辑移位6种操作,the implementation of Arithmetic and logic unit based on VHDL, can do as the adder,subtractor,multiplier,divider,shifter and logic operation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:619981
    • 提供者:caolei
  1. zuyuankeshe.rar

    0下载:
  2. 计算机组成原理课程设计,用VHDL语言实现的加减乘运算以及移位操作。,Principles of curriculum design computer components, using VHDL language, as well as the addition and subtraction multiplication shift operation.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:46739
    • 提供者:jing
  1. hc595.rar

    0下载:
  2. 74HC595 的驱动。任意3IO即可 /************************************************************* IC :AT89S52 晶振:12MHz 硬件:1片 HC595 *************************************************************/ #include<intrins.h> sbit send595=P2^7 //send data to 5
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:684
    • 提供者:付茂彦
  1. qfq.rar

    0下载:
  2. 移位相加乘法器设计。附有工程实例及ppt说明。,Add multiplier design shift. Ppt with example and descr iption.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1726903
    • 提供者:fddib
  1. Verilog_code_for_AWGN.rar

    1下载:
  2. verilog实现awgn信道噪声的代码,支持可变的信噪比。利用移位寄存器来实现伪随机序列。,verilog code for implementation of awgn channel noise. support variable snr. use LSFR to implement the pseudo random sequence.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:10555272
    • 提供者:xiejin
  1. liushuideng.rar

    0下载:
  2. 51系列单片机用其本身的循环移位函数实现的流水灯程序,同时数码管显示数字1~4。,51 series single chip with its own function of the cyclic shift of the light water procedures and at the same time the digital display number 1 ~ 4.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:632
    • 提供者:bill
  1. shifter.rar

    0下载:
  2. 移位寄存器,可以串行输入,并行输入,串行输出,Shifter register which can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:725
    • 提供者:吴传平
  1. cheng1.rar

    0下载:
  2. 用VHDL实现十六位移位乘法器 才有移位相加法来实现,Use VHDL to achieve 16-bit shift multiplier shift only the sum of law to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:26136
    • 提供者:齐娜
  1. 74ls595.rar

    0下载:
  2. 单片机与74LS595(8位输出锁存移位寄存器)的使用方法 74595的数据端: QA--QH: 八位并行输出端,可以直接控制数码管的8个段。 QH : 级联输出端。我将它接下一个595的SI端。 SI: 串行数据输入端 ......... ,SCM and 74LS595 (8-bit output latch shift register) 74595 to use the data side: QA- QH: 8 parallel output can directly co
  3. 所属分类:Project Manage

    • 发布日期:2017-03-25
    • 文件大小:5112
    • 提供者:eric
  1. 12864液晶显示器中文字图形12864

    0下载:
  2. 文字与图形混合显示功能 画面清除功能 光标归位功能 显示开 关功能 光标显示隐藏功能 显示字体闪烁功能光标移位功能功能 显示移位功能 垂直画面旋转功能反白显示功能 -Graphic liquid crystal display text 12864 12864 Mixed text and graphics display Clear the screen cursor homing function display switching f
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-02
    • 文件大小:281299
    • 提供者:xingzhe
  1. multi8x8

    0下载:
  2. 该源码为8位乘法器的VHDL语言描述,由一个8位右移寄存器,2个4位加法器例化成8位加法器,一个16位数据锁存器构成。采用移位相加的方式,从被乘数的低位开始,与乘数的每个位移位相加求和。最后实现其乘法器功能。-The source code for the 8-bit multiplier in VHDL language to describe, from an 8-bit right shift register, two 4-bit adder example into 8-bit add
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:393061
    • 提供者:feng
  1. yiwei

    0下载:
  2. 古典密码的设计——周期为5的16-置换移位密码-Replacement shift Password
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-16
    • 文件大小:201236
    • 提供者:snow
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 50 »
搜珍网 www.dssz.com