CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. ourdev_574256

    0下载:
  2. 自动售货机在modelsim下的仿真与实现,用vhdl编写-Vending machines under the modelsim simulation and implementation using vhdl write
  3. 所属分类:software engineering

    • 发布日期:2017-06-02
    • 文件大小:14439128
    • 提供者:白拉菲
  1. VHDL-CPLD

    0下载:
  2. 程序-自动售货机 基于CPLD、FPGA的程序-Program- the vending machine based on CPLD, FPGA program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:653
    • 提供者:孙锁东
  1. seller2

    0下载:
  2. wince上的模拟自动售货机,课程设计做的,有点粗糙-wince on the vending machine simulation, curriculum design to do, a little rough
  3. 所属分类:Windows CE

    • 发布日期:2017-05-25
    • 文件大小:8600157
    • 提供者:liyueq
  1. Verilong_HDL

    0下载:
  2. 此设计文档详细说明了自动售货机的实现过程,包括各种图表,源代码,仿真波形等。-This design documents detail the vending machine, including the realization process of various chart, the source code, and the simulation waveform, etc.
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:290756
    • 提供者:chenx
  1. automachine

    0下载:
  2. 自动售货机的状态机实现 自动售货机的状态机实现-this is a automachine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:884
    • 提供者:hairui
  1. test

    0下载:
  2. 另外一种自动售货机的状态机实现,跟前一个相比,简化了代码,运行效率高-this is another program for automachine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:33041
    • 提供者:hairui
  1. FPGA-based-design-vending-machines

    0下载:
  2. 本系统采用了Altera的开发软件的Quarts II。该软件平台进行数字电路设计和仿真的方法;阐述了VHDL(Very High Speed Integrated Circuit Hardware Descr iption Language)语言的一些特点及语法结构;介绍了自动售货机系统的基本原理、系统组成和主要功能;并分析讨论了用VHDL语言开发自动售货机系统的设计流程。本设计利用Altera公司的开发软件Quartus II平台,采用VHDL硬件描述语言编程的设计方法设计系统核心电路的硬件
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:217741
    • 提供者:
  1. VEND

    0下载:
  2. 自动售货机,可投5 角和一元两种硬币; (2) 饮料单价为2.5 元; (3) 系统能够根据用户输入的硬币,判断钱币是否足够,当所投硬币达到或者超过购买者所选面值时,则根据顾客的要求自动售货,并找回剩余的硬币,然后回到初始状态,当所投硬币面值不够时,则给出提示,并通过一个复位键退回所投硬币。然后回到初始状态。 -Vending Machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1061
    • 提供者:
  1. zidongshouhuoji

    0下载:
  2. 自动售货机的verilog使用,可以作为初学CPLD的一种参考。-Verilog vending machine use, can be used as a reference for beginners CPLD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:269953
    • 提供者:靖文祥
  1. autosell

    0下载:
  2. VHDL语言的自动售货机,作为毕业设计与课程设计,已调试过了可以下载使用-VHDL language vending machine, you can download have been used to debug
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2066
    • 提供者:李小平
  1. vendingmachine

    0下载:
  2. 用VC++实现一个自动售货机,适用于简单的VC课程设计。-With VC++ to achieve a vending machine for a simple VC program design.
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-04-01
    • 文件大小:127364
    • 提供者:hewei
  1. verilogClassicSamples

    0下载:
  2. verilog常用程序及其仿真结果整理,包括LCD,LED,AD采集,URAT,电子琴,电梯控制,自动售货机控制,出租车计价器,电子时钟,频率计,MPSK调制与解调-verilog common finishing process and its simulation results, including LCD, LED, AD collection, URAT, keyboard, elevator control, vending machine control, taxi meter,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1278470
    • 提供者:ZhangYan
  1. sale-machine-

    0下载:
  2. 一个FPGA的自动售货机程序,状态机部分很详细,值得参考-A vending machine program the FPGA, the state machine part of the very detailed and valuable reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:9410
    • 提供者:边辰
  1. jishu

    0下载:
  2. 实现自动售货机的计数模块.en为接受信号,当投币开始时投币模块发出信号,计数模块开始工作,当计数到30时向控制模块发出t信号。Clr为控制器发送给计数模块的一个信号,当clr为1时,计数器归零。Clk为分频模块发送的时钟信号-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2835
    • 提供者:谌黔
  1. Vending-Machine-with-CPP-console

    0下载:
  2. 伪界面版自动售货机1.0版本。 1:实现基本的买,找零。 2:初始化贩卖机 2:统计当日收益。 3:分为管理员和普通用户,包含使用说明。-Vending Machine 1: buy,change 2: calculator the income. 3: admin and General users.
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-03-25
    • 文件大小:484208
    • 提供者:XWC
  1. Vending-machine

    1下载:
  2. 用C#语言编写的自动售货机,界面中有几款可供选择的饮料,用户投入货币,选择饮料。。。本程序模拟自动售货机实现饮料销售-Written in C# language, vending machines, the interface has several beverage options, the user inputs currency, select beverages. . . The simulation program to achieve beverage vending machin
  3. 所属分类:CSharp

    • 发布日期:2017-04-09
    • 文件大小:2738329
    • 提供者:王文
  1. Vending-machine

    0下载:
  2. 自动售货机,包括测试和仿真,比较齐全,有源代码,货物信息存储,进程控制,硬币处理,余额计算,显示等功能。-Vending machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:143395
    • 提供者:杨群
  1. auto_sale

    0下载:
  2. 自动售货机,卖三种饮料,实现自动找钱,饮料上架,销售。-Vending machines, selling three kinds of drinks, automatically find the money, beverage shelves and sales.
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-04-04
    • 文件大小:2993
    • 提供者:王丽
  1. machine_project

    0下载:
  2. verilog代码写的自动售货机。已经调试过了。顶层单元是top。注意其中的商品只有两种。-verilog code written in vending machines. Debugged the. Top-level unit is the top. Note that one of the only two commodities.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:8128
    • 提供者:yangxinghua
  1. AUTO_BUY

    0下载:
  2. 自动售货机fpga altera DE2-fpga altera DE2 autobuy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:268284
    • 提供者:范梁
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 20 »
搜珍网 www.dssz.com