CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. MFC

    0下载:
  2. 关于一个自动售货机的完整设计,利用vc平台。-A vending machine on the integrity of the design, use vc platform.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:489848
    • 提供者:戴玛
  1. ADS-93OO

    0下载:
  2. 介绍一款超小型透射光电断路器,它在自动柜员机,自动售货机,,办公自动化设备等都得到很好的应用-Introduced an ultra-compact optical transmission circuit breakers, it is ATM, vending machines, and office automation equipment and so have a good application
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:156397
    • 提供者:weiwei
  1. autosellmachine

    0下载:
  2. 自动售货机程序,实现接受付款、返回当前现金等功能。-Vending machine program to realize, receiving payment, return to the current cash and other functions.
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-03-29
    • 文件大小:7378
    • 提供者:王子
  1. 27fpgashili

    0下载:
  2. 基于FPGA的27哥实例代码——包括自动售货机,出租车计价器等的源程序代码-27 Columbia-based FPGA example code- including vending machines, taxi meter, etc. of the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1399507
    • 提供者:hongfmao
  1. shouhuoji

    0下载:
  2. 自动售货机 基于java的自动售货机小程序-Vending machine vending machines based on java applets
  3. 所属分类:Java Develop

    • 发布日期:2017-03-28
    • 文件大小:1249
    • 提供者:Mmei
  1. shouhuoji

    0下载:
  2. 自动售货机-Vending machine
  3. 所属分类:Java Develop

    • 发布日期:2017-04-02
    • 文件大小:1329
    • 提供者:luyang
  1. autosell

    0下载:
  2. 自动售货机的FPGA设计代码 -FPGA design code of vending machines vending machines FPGA design code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1948
    • 提供者:
  1. Buyer

    0下载:
  2. 实现自动售货机功能,能够实现自动找零,判断错误-Automatic vending machines feature
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:300667
    • 提供者:nipengyu
  1. a-soft-drink-vending-machine-system

    0下载:
  2. c++的关于饮料自动售货机的实现,有利于C++初学-c++ on the realization of beverage vending machines will help C++ beginners
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:732502
    • 提供者:杨琴
  1. zidong

    0下载:
  2. 一个很简单的源程序!在操作台下实现基本的自动售货机结算功能!-一个很简单的源程序!在操作台下实现基本的自动售货机结算功能!………………
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:7974
    • 提供者:jiang
  1. AtuoSale

    0下载:
  2. 简单的实现自动售货机的小程序,实现简单的购买商品的源代码。-AutoSale
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:199995
    • 提供者:淑明
  1. HCRB

    0下载:
  2. 设计要求:设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制、硬币处理、余额计算、显示灯功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择;售货时能根据用户输入的货币,判断钱币是否足够,钱币足够则根据顾客要求自动售货,钱币不足则给出提示并推出;能够自动计算出应找钱币余额、库存数量并显示。-Design requirements: design of a vending machine. The system can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:686
    • 提供者:DAVE
  1. zdsh

    0下载:
  2. 用硬件描述语言写的几个自动售货机代码,有对应测试文件,当有硬币投入时,仿真波形得到正确结果。-Hardware descr iption language code written in a few vending machines, has a corresponding test file, when a coin, the simulation waveform to get the right results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:700
    • 提供者:jingling
  1. vending-machine

    0下载:
  2. 饮料自动售货机,挺实用的一个小程序,简单易实现-Beverage vending machines, a very useful little program, simple and easy to implement
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-04-01
    • 文件大小:5137
    • 提供者:dulifang
  1. saleMachine

    0下载:
  2. 完成自动售货机的各项功能,如:添加饮料,更改属性等并能够实现售货过程的各项检查-Completion of the vending machine features, such as: Add drinks, change attributes and to achieve sales of the inspection process
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:253331
    • 提供者:snaclb
  1. shouhuoji

    0下载:
  2. ++模拟的超市自动售货机,运行于模拟机,命令提示符状态。完成的功能很简单,C++爱好者可以玩玩。CodeFans.net截图如上-++ Simulation of supermarket vending machines, running on the simulator, the command prompt. Complete function is very simple, C++ enthusiasts can play. CodeFans.net screenshot above
  3. 所属分类:assembly language

    • 发布日期:2017-03-28
    • 文件大小:7377
    • 提供者:陈帅
  1. Vending-machines

    0下载:
  2. 自动售货机,自己写的一个小程序,不是很完善,但还是可以用的。-Vending machines, a small program, is not perfect, but still can be used.
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-20
    • 文件大小:6055257
    • 提供者:王一然
  1. sell

    0下载:
  2. Verilog 实现自动售货机,现在,自动售货机产业正在走向信息化并进一步实现合理化。例如实行联机方式,通过电话线路将自动售货机内的库存信息及时地传送各营业点的电脑中,从而确保了商品的发送、补充以及商品选定的顺利进行-CLK: standard clock signal, in this case, the frequency for 4Hz Now, the vending machine industry is on her way to the information and fur
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:602
    • 提供者:李小明
  1. zidongshouhuo

    0下载:
  2. 设计一个简易的自动售货机,它能够完成钱数处理、找零、显示、退币等功能。 (1)用3个键表示3种钱,再用3个键表示3种物品。 (2)用2个数码管显示输入的钱数,再用2个数码管显示所找的钱数,以元为单位 -Design a simple vending machine, the amount of money that it can complete the treatment, give change, display, coin and other functions. (1) wi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:10400
    • 提供者:chenbei
  1. cpld_vhdl

    0下载:
  2. 其内收藏了一些用vhdl写成的硬件逻辑语言,主要有出租车计价器,电子时钟,自动售货机,以及步进电机定位控制系统等。-The collections of a number of hardware logic with VHDL written language, there are taxi meter, electronic clocks, vending machines, and stepper motor positioning control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:321291
    • 提供者:赵鑫
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 20 »
搜珍网 www.dssz.com