CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. 02092028

    0下载:
  2. 1. 背景简介 日常生活中经常有自动售货机,这种机器不需要人来随时控制,只是按照其内部的一些默认程序来 工作,是一种比较简单的人工智能程序,本程序为对这种机器的一种模拟。 2.项目目标 (1)向顾客显示所售的各种商品; (2)让顾客进行选择; (3)向顾客显示所选商品的价格; (4)收款; (5)发送顾客所选择的商品; (6)向顾客找零。 3.运行环境 PⅢ级别计算机(CPU主频300Mhz以上、128MB内存) WINDOWS操作系统
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2008-10-13
    • 文件大小:50110
    • 提供者:郑远飞
  1. TCN_Autosaling_machine

    2下载:
  2. 公司开发的自动售货机文档,包括源代码,电路图,用户文档等-development of the company vending machine files, including source code, circuit diagrams, user documentation, etc.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1325779
    • 提供者:邓天
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. hotel-system

    0下载:
  2. VFP的毕业设计,交换C++的毕业设计(饮料自动售货机系统)-VFP graduation design, the exchange of C graduate design (beverage vending machine system)
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:372712
    • 提供者:wu
  1. third4

    0下载:
  2. 自动售货机,投币记数,判断是否已答商品价格,再出货指令发出后,出货。-vending machines, coin counting, to decide whether or not to have answered commodity prices, export orders issued again after shipment.
  3. 所属分类:DirextX编程

    • 发布日期:2008-10-13
    • 文件大小:1572
    • 提供者:贾植
  1. vhdlautosale

    0下载:
  2. 自动售货机VHDL实现 atmel公司的FPGA-vending machine company VHDL atmel FPGA
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:62214
    • 提供者:车恒川
  1. Sheriff_AutoSale

    1下载:
  2. 自动售药系统,类似自动售货机系统,触摸屏模式:VB6.0+ACCESS+windows2000;-vending medicine system, similar vending machine systems and touch-screen mode : ACCESS VB6.0 by Windows;
  3. 所属分类:数据库编程

    • 发布日期:2008-10-13
    • 文件大小:5614032
    • 提供者:sheriffyang
  1. AVM

    2下载:
  2. 自动售货机自动监测货品数量,金额,以及销售情况
  3. 所属分类:数据库编程

    • 发布日期:2008-10-13
    • 文件大小:1261922
    • 提供者:毛毛
  1. ddddQUA

    1下载:
  2. 这部分阐述了自动售货机的各种动作功能和控制要求,给出了完整的自动售货机操作规程,并介绍了自动售货机运行系统种所包括的人工操作步骤
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:383595
    • 提供者:陆志平
  1. project

    1下载:
  2. 单片机类程序,有几个项目的,自动售货机、自动点烟器
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:524681
    • 提供者:bmagui
  1. autoseller

    0下载:
  2. 这是本人的课程设计,自动售货机,内容有文件的操作,向量与迭代器的使用,友元函数的使用
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:39729
    • 提供者:Dong
  1. vhdl1

    0下载:
  2. VHDL经典案例源码 有至少20个经典案例,如:自动售货机,分频器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:169548
    • 提供者:卢卢
  1. shouhuoji

    0下载:
  2. 基于Verilong_HDL自动售货机的设计与实现,需要就下
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:292624
    • 提供者:悠酷男孩
  1. autosale

    0下载:
  2. 采用vhdl语言编写的自动售货机程序。如有需要的可以下载参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60337
    • 提供者:卢兵
  1. sellm

    0下载:
  2. vhdl实现的自动售货机 实现了售货,找零等基本功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2154
    • 提供者:杨春
  1. 20044841

    0下载:
  2. vhdl编写的自动售货机程序,可以实现一个和多个商品的售货
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:272164
    • 提供者:李寻
  1. con1

    0下载:
  2. maxplus2!!!!!!!!!!!!!!! 自动售货机 vhdl
  3. 所属分类:其它

    • 发布日期:2014-01-17
    • 文件大小:700
    • 提供者:yjk
  1. 200558080220

    0下载:
  2. 基于VHDL的自动售货机设计,希望对大家有点帮助
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:337943
    • 提供者:汤文华
  1. Autoserving

    1下载:
  2. 自动售货机的内部嵌入式系统。其功能是提供人机交互的界面;计算用户所选商品的总价;验证账户的合法性;与银行通信以保持用户账户的一致性;管理本地商品数据库。
  3. 所属分类:ICQ/即时通讯

    • 发布日期:2008-10-13
    • 文件大小:45786
    • 提供者:王先生
  1. shouhuoji.vhd

    0下载:
  2. 自动售货机程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1885
    • 提供者:zhangfeng
« 1 2 3 4 56 7 8 9 10 ... 20 »
搜珍网 www.dssz.com