CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. vhdl

    1下载:
  2. EDA 自动售货机 包涵源程序和仿真原理图。课程设计内容-EDA vending machine
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:61643
    • 提供者:WX
  1. shouhuoji

    0下载:
  2. 用PLC对自动售货机进行控制,根据工作要求,写出梯形图并给出解释。 输入地址:X0:一元币识别口;X1:五元币识别口;X2:十元币识别口;X3:咖啡按钮;X4:汽水按钮; 输出地址:Y10:咖啡出口,Y11:汽水出口,Y12:咖啡按钮指示灯,Y13:汽水按钮指示灯, Y14:找钱指示灯。 工作要求:(售货机可投入1元,5元或10元硬币。) (1)当投入硬币总值超过12元时,汽水按钮知识灯亮;又当投入的硬币总值超过15元时,汽水及咖啡按钮灯都亮。 (2)当汽水按钮指示灯亮时
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:10424
    • 提供者:zh
  1. source_code

    0下载:
  2. 一个用c语言编写的自动售货机控制器源代码-A with c language source code for vending machine controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:134449
    • 提供者:lin kai
  1. Autoseller

    0下载:
  2. 基于VHDL开发的自动售货机系统,可实现自动售货过程中的基本功能,具有一定的代表性。-VHDL-based development of a vending machine system which can automatically process the basic functions of sales, with a certain representativeness.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5194
    • 提供者:Joseph
  1. zdshj

    0下载:
  2. 自动售货机控制系统设计 要求: 设计制作一个自动售货机控制系统。 该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 系统自动的计算出应找钱币余额、库存数量并显示。 -Vending machine control system desig
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:67212
    • 提供者:dws
  1. Cplus

    0下载:
  2. 常用C++源码集合,包括闹钟、位图转化、学生成绩管理系统、人脸检测系统、ping系统、自动关机系统、Txt文件转换、超级玛丽、工资管理系统、BIOS操作、中国象棋、自动售货机等等。 -Common C++ source code collections, including the alarm clock, bitmap conversion, student achievement management system, human face detection system, ping sys
  3. 所属分类:Graph program

    • 发布日期:2017-05-24
    • 文件大小:7953847
    • 提供者:conroy cheung
  1. Cpp_source

    0下载:
  2. C++源码大全。本资源包括:工资管理、自动售货机、中国象棋、超级玛丽制作揭秘等10几个项目的源代码-C++ source code Tai Quan. The resources include: payroll, vending machines, Chinese chess, such as Super Mario 10 Jiemi making the source code for several projects
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-24
    • 文件大小:7959939
    • 提供者:刘晓佳
  1. chengxusheji

    0下载:
  2. 自动售货机的C编程 可以实现自动找零 实现无人监守-zidong shouhuoji de C biancheng
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:2539
    • 提供者:弗兰克斯
  1. automat

    0下载:
  2. 自动售货机:1、通过开关选择购买6角或8角的邮票;2、按下购买键,开始购买;3、按相应键,输入1角、5角、1元硬币;4、当输入硬币总值大于等于欲买邮票价值时,相应邮票输出并找零(邮票输出,找零均用LED灯表示,找零也有1角、5角、1元三种)5、若想终止交易,可按退钱键,退出已输硬币,交易结束。-Vending machines: one, through the switch to choose to buy six cents or 8 corner stamps 2, press the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-11
    • 文件大小:991110
    • 提供者:duj
  1. EDA07

    0下载:
  2. 能识别5c10c25c三种硬币,可以实现退币找零等功能VHDL编程自动售货机-5c10c25c can identify three kinds of coins and currency can be achieved through irregular features such as back VHDL programming vending machine
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:4520
    • 提供者:vina
  1. shouhuoji

    0下载:
  2. 用Verilog语言实现自动售货机,外加实现课件-Automatic vending machines with the Verilog language, along with realization of courseware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:664533
    • 提供者:万小中
  1. Vending

    0下载:
  2. 用MFC模拟了一款自动售货机的基本功能,具有投币、退币、购买商品等功能,还能通过输入密码获得管理员权限并编辑商品的种类、数量、价格等信息,针对课设很适合-MFC simulated using the basic functions of a vending machine with a coin, coins back, purchase merchandise and other functions, but also to obtain administrator privileges b
  3. 所属分类:GUI Develop

    • 发布日期:2017-05-29
    • 文件大小:12058098
    • 提供者:杜飞
  1. zdong

    0下载:
  2. 自动售货机源代码,实现了5,1元和10元时的找钱情况-Vending machines source code
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:811
    • 提供者:王飞
  1. VHDL-Vending-machine

    0下载:
  2. 用VHDL设计自动售货机,能实现自动找零的功能。-Vending machines with VHDL design, auto-change function can be realized.
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:540493
    • 提供者:毛毛
  1. Codejia.com_586ab1766e2b4c702140df55f6e51c1f

    0下载:
  2. 自动售货机系统, 希望对大家有帮助-Vending machine system, we want to help
  3. 所属分类:SCM

    • 发布日期:2017-05-17
    • 文件大小:4540344
    • 提供者:李辉
  1. zidongshouhuojisheji

    1下载:
  2. 本文采用Verilog HDL描述语言实现自动售货机系统的销售动作,用有限状态机进行系统状态描述,自动售货机通电复位时,自动进入系统初始状态,本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零、余额计算并显示等功能。-This verilog hdl describe language used for automatic machines system of action, with a limited system of state, state, the v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:34587
    • 提供者:高菲悦
  1. VendingMachine

    0下载:
  2. 本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。-Vend Machine
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1517638
    • 提供者:Jackie Liang
  1. venging

    0下载:
  2. 用c++编写的模拟超市的自动售货机,实现其大部分功能。-Written by c++ simulation of supermarket vending machines, to achieve most of its functions.
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-04
    • 文件大小:6765
    • 提供者:lys
  1. dispenser-verilog-implement

    0下载:
  2. 用verilog实现自动售货机的买卖过程,其中包括投了钱却不想买东西,投了太多的钱等特殊情况-dispender implement by verilog it is mainly for verilog beginner.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:13444
    • 提供者:
  1. 自动售货机纸币识别器完整模块

    1下载:
  2. 自动售货机纸币识别器完整模块,汇编语言开发-Complete module of the vending machine money detector, assembly language
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-11-21
    • 文件大小:21878
    • 提供者:dragon
« 1 2 34 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com