CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. DrinkSellMachine

    0下载:
  2. 饮料自动售货机,采用状态设计模式模拟饮料自动售货机
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:48070
    • 提供者:JELL
  1. 61EDA_B288

    0下载:
  2. 自动售货机 带选择商品,找零,退币,无货提示,单价显示,选择数量等空能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:560744
    • 提供者:汪雷明
  1. SELLER

    1下载:
  2. 基于verilog HDL的自动售货机控制电路设计: 可以对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机可以接受1元,5角,1角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号Ia,Ib,Ic,Id,Ie和一个放弃信号In,输出指示信号为 Sa, Sb ,Sc ,Sd, Se
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1776
    • 提供者:chenyi
  1. sum_cash

    0下载:
  2. 自动售货机的sum-cash源码, 主要负责自动售货机的计算钱和找零的应用。简单实用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:842
    • 提供者:李丽
  1. 2003202

    0下载:
  2. 关于HANOI塔问题,八皇后问题,复数相关运算,多态与虚函数圆柱相关运算,自动售货机,在册人员管理等等代码
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:541665
    • 提供者:唐进
  1. lin

    0下载:
  2. 有5、10、25三种硬币,实现自动售货机的找钱机制
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1343
    • 提供者:linilin
  1. autosellmachine

    0下载:
  2. 基于VHDL的自动售货机实现,包含完整的源代码,锁脚文件以及下载文件
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13840
    • 提供者:陈泽涛
  1. sell

    0下载:
  2. 用HDL语言编写,实现自动售货机基本功能,含设计报告和.V文件。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:239530
    • 提供者:zixue
  1. vendor

    0下载:
  2. 此程序设计的自动售货机只销售两种罐装可乐,售价均为15元。顾客可以由两个不同投币孔分别投入3个五元硬币或2个10元硬币。一次交易只能买一罐,且自动找零。只要按下取消键就会马上无条件退币。-this procedure designed vending machine sales only two canned cola, both at 15 yuan. Customers can from two different coin Kong spent three five yuan coins
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:178240
    • 提供者:刘卫
  1. seller2

    0下载:
  2. 程序实现自动售货机的核心功能,设有3种价格的商品,可选择数量,有找钱功能。-program vending machine at the core function, with three kinds of commodity prices, the number of choice, having to function.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1929
    • 提供者:陆文娟
  1. 自动售货机VHDL设计

    0下载:
  2. 所属分类:其它文档

    • 发布日期:2008-12-21
    • 文件大小:144783
    • 提供者:z05437213
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. 自动售货机

    0下载:
  2. 先投入一定数目货币,然后根据货币购买力进行相应货物的选择,然后确定购买,出货并找零,完成交易。也可在确定购买前任何时刻按取消键退出所有货币。
  3. 所属分类:VHDL编程

    • 发布日期:2009-05-15
    • 文件大小:1600
    • 提供者:mycfly
  1. 自动售货机设计

    1下载:
  2. 所属分类:电子商务

    • 发布日期:2011-03-18
    • 文件大小:1052672
    • 提供者:ailianzhe
  1. 基于单片机的自动售货机设计

    2下载:
  2. 所属分类:单片机(51,AVR,MSP430等)

  1. 自动售货机

    1下载:
  2. 所属分类:VHDL编程

  1. DGS_RECEIVER_3.rar

    0下载:
  2. 自动售货机掉货检测系统 at89s52 keil ,Goods vending machines out at89s52 keil Detection System
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-07
    • 文件大小:44504
    • 提供者:ronnie
  1. DEX_jiangjie.rar

    0下载:
  2. 自动售货机 dex通信协议 讲解 原创资料 我确定,Vending machine dex data communication protocol on the original I' m sure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:86448
    • 提供者:ronnie
  1. vending-machine

    0下载:
  2. 自动售货机 在控制台环境下实现售货机自动模拟-vending machine
  3. 所属分类:Console

    • 发布日期:2017-04-09
    • 文件大小:1948235
    • 提供者:sunce
  1. Vending

    0下载:
  2. 自动售货机:该项目采用c++ .net 编写,主窗体form1 表示售货机的整个外观,它包含3个货柜(用3个picturebox 演示),货柜下方显示商品的单价,当点击窗体的非控件点时,会自动显示各个商品的价格
  3. 所属分类:Software Testing

    • 发布日期:2017-04-08
    • 文件大小:326486
    • 提供者:李江涛
« 1 2 3 4 5 67 8 9 10 11 ... 20 »
搜珍网 www.dssz.com