CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. MDB_Version_4-2

    0下载:
  2. mdb协议,包含各种终端,自动售货机协议-Version 4.1 of this specification is the fifth release of the international Multi-Drop Bus/ Internal Communication Protocol (MDB/ICP).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:109958
    • 提供者:YKL
  1. Verilog

    0下载:
  2. 自动售货机,乐曲演奏电路,4 位数字频率计等详细程序代码-Detailed code of vending machines, the music playing circuit, four digital frequency meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:181020
    • 提供者:张娜
  1. VHDL_of_vending_machine

    0下载:
  2. 自动售货机VHDL编程与仿真,初学者可以看看啊-Vending machine programming and VHDL simulation, beginners can take a look at it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:167948
    • 提供者:libing
  1. AVM-Driver

    0下载:
  2. 自动售货机驱动板驱动程序,完整程序,包括说明-Vending machine driver board driver, complete program, including a descr iption
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:51315
    • 提供者:dragon
  1. VSELLLERRe

    0下载:
  2. 一种基于verilog HDL的自动售货机控制电路设计:能对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机能接受1元,5角,111角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示出来以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号I -Verilog HDL-based vending machine control cir
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:1571
    • 提供者:清醒
  1. fsg

    0下载:
  2. 自动售货机VHDL程序与仿真,可以直接实现!-Vending machines, procedures and VHDL simulation, which allows direct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:143565
    • 提供者:qiming
  1. salemachine

    0下载:
  2. 这短程序是利用LABVIEW做的一个模拟自动售货机的小程序,可用于LABVIEW学习者进行模拟练习。-This short program is using LABVIEW to do a simulation of the vending machine program, can be used to the LABVIEW learner simulation exercises.
  3. 所属分类:MacOS develop

    • 发布日期:2017-03-29
    • 文件大小:83655
    • 提供者:胡彬
  1. Vvending_mache

    0下载:
  2. 自动售货机模型,可以设置商品价钱及数量。0.5元及11元投币。可以返回最多1.5元。, -The vending machine model, you can set the price and quantity of goods. 0.5 yuan and 11 yuan coin. Can be returned up to 1.5 yuan. ,
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:326098
    • 提供者:补助
  1. superma

    0下载:
  2. 药品超市自动售货机,分角色进行操作,快捷方便,易懂,代码是JAVA-Bank Automated Teller, the role of sub operation, fast and convenient, easy to understand, the code is JAVA
  3. 所属分类:JavaScript

    • 发布日期:2017-12-08
    • 文件大小:65328
    • 提供者:袁宇
  1. verilog

    0下载:
  2. 一些基本的Verilog 代码 包括基本的分频器设计,交通灯设计,自动售货机设计,有限状态机的设计-Some basic Verilog For freshman
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:3667
    • 提供者:Jim Green
  1. VB

    0下载:
  2. 是用VB实现一个自动售货机的界面,模拟商品的使用-The use of a vending machine interface, analog commodities with VB
  3. 所属分类:.net

    • 发布日期:2017-11-13
    • 文件大小:662500
    • 提供者:蒋高峰
  1. Autoseller_verilog

    0下载:
  2. 基于FPGA实现的自动售货机,采用verilog语言实现-Vending machines based on FPGA verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:1024
    • 提供者:小梦
  1. shouhuoji

    0下载:
  2. labview实现自动售货机,非常好用。比较好的控件-The labview achieve the vending machine is very easy to use. Better control
  3. 所属分类:LabView

    • 发布日期:2017-11-04
    • 文件大小:194835
    • 提供者:李一
  1. 1000710326

    0下载:
  2. 3.编写一个程序模拟自动售货机。可以通过向售货机中投入硬币来购买商品。用户从售货机商品列表选择某一商品并投入硬币。如果金额足够,则提供相应商品;如果金额不够,或者该商品已售完,则将硬币退回。维护售货机的工作人员可以为其添加商品或者取走售货款项。利用CRC卡片设计类和类中的方法,同时给出UML图并实现该程序-3. Write a program to simulate the vending machine. Can pass to the vending machine into the COI
  3. 所属分类:assembly language

    • 发布日期:2017-11-24
    • 文件大小:1667
    • 提供者:xu ming le
  1. shouhuo

    0下载:
  2. 自动售货机具备投币找零功能,并用LED数码管显示金额-Coin-operated vending machines have change for function, LED digital tube display amount
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:999
    • 提供者:牛旭
  1. autosell_likong

    0下载:
  2. 自动售货机系统的监控组态设计,模拟自动售货机的投币、售货、退币功能-Monitoring of vending machine system configuration design, simulation vending machine coin, vending, coin function
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-19
    • 文件大小:2583602
    • 提供者:
  1. autoSell3

    0下载:
  2. 自动售货机程序,用verilog编写的,通过按键来控制货物选择和投币多少-autoseller,programed by verilog language
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:999592
    • 提供者: kanty
  1. 2013zidongshouhuoji

    0下载:
  2. 这个一个关于自动售货机的系统,对于超市来说再合适不过了,代码结构有点复杂-This is a vending machine system for supermarket, appropriate code structure is a bit complex
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-11-23
    • 文件大小:225484
    • 提供者:杨子蓝
  1. VMC

    3下载:
  2. 自动售货机用于和纸币器硬币器通讯的代码,详细的定义了访问设备的函数。-auto vending communication source
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-03-15
    • 文件大小:3647
    • 提供者:wxm
  1. atuoseller_design

    0下载:
  2. 自动售货机的设计,用用verilog语言设计对应的状态流程-veilog design of auto_seller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:1273298
    • 提供者:petty
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 20 »
搜珍网 www.dssz.com