CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 锁存器

搜索资源列表

  1. 20054863

    0下载:
  2. 设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是So、S1、S2、S3、S4、S5、S6、S7。 ② 给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ③ 抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:4810
    • 提供者:yuanyuan
  1. shuziqiangdaqi

    0下载:
  2. 1. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动\"开始\"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:257666
    • 提供者:haiyang
  1. clock0510

    0下载:
  2. 1. 一秒定时的实现。 设定定时器每100us中断一次,在中断服务程序中,对中断次数进行计数,计数10000次就是1秒。 2.分、秒的显示 用四个数码管配合373芯片的锁存功能就可以完整地显示分、秒信息。373芯片的片选则需要138芯片的译码和04芯片的取反。 3. 调时的实现 利用单片机的外部中断和三个按键,我们可以方便的实现调时功能。比如三个按键开关产生的中断信息可以分别用于“开始(停止)调时”、“选择调时位”、“当前选择位+1”。 4. 实现按键的软件去抖动功能。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1505
    • 提供者:li
  1. work6ADCINT

    0下载:
  2. ADC0809采样控制电路的实现ADC0809是CMOS的8位A/D转换器,片内有8路模拟开关,可控制8个模拟量中的一个进入转换器中,转换时间约100us。主要控制信号有,START是转换启动信号,高电平有效。ALE是3位通道选择地址(ADDC、ADDB、ADDA)信号的所存信号。当模拟量送至某一输入端(如IN1或IN2),由3位地址信号选择,而地址信号由ALE锁存。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29264
    • 提供者:lkiwood
  1. 74ls595.rar

    0下载:
  2. 单片机与74LS595(8位输出锁存移位寄存器)的使用方法 74595的数据端: QA--QH: 八位并行输出端,可以直接控制数码管的8个段。 QH : 级联输出端。我将它接下一个595的SI端。 SI: 串行数据输入端 ......... ,SCM and 74LS595 (8-bit output latch shift register) 74595 to use the data side: QA- QH: 8 parallel output can directly co
  3. 所属分类:Project Manage

    • 发布日期:2017-03-25
    • 文件大小:5112
    • 提供者:eric
  1. chengxu

    0下载:
  2. 设计制作一个可容纳4组参赛者的数字智力抢答器,每组设置一个抢答按键; 电路具有一第一抢答信号的鉴别和锁存的功能。在主持人将系统复位并发出抢答指令后,若参加者按抢答键,则该组指示灯亮并用组别显示抢答者的组别。此时,电路具有自锁功能,使别组的抢答开关不起作用。 设置计分电路。每组在开始时预置成6分,抢答后主持人计分,答对一次加1分。-The design can accommodate a the Entrants digital intellectual Responder, each s
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:4864
    • 提供者:何婕婕
  1. siluqiangdaqi

    0下载:
  2. 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示; 2、用ch41a模块将抢答结果转换为二进制数; 3、用sel模块产生数码管片选信号; 4、用ch42a模块将对应数码管片选信号,送出需要的显示信号; 5、用七段译码器dispa模块进行译码。 -1, using feng module will press a key player to a
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1778
    • 提供者:张漠然
  1. shirenqiangdaqi

    0下载:
  2. (1)抢答器同时供4名选手比赛,分别用4个按钮S1 ~ S4表示; (2)设置一个系统清除和抢答控制开关S5,该开关由主持人控制; (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除。 -(1) Answer 4 simultaneous players for the game, with four buttons, respectively, S1 ~ S4 that (2) to set up a
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:927
    • 提供者:离火
  1. qiangdaqi

    0下载:
  2.   (1) 抢答器线路测试功能   为了保证比赛的正常进行,比赛前需要调试线路能否正常工作。    (2) 第一抢答信号的鉴别和锁存功能   可以判断谁最先抢到回答的资格,其相应的绿灯表示抢答成功,并具有锁存功能,一直到下一题开始。    (3) 犯规警示功能   可以判断出参赛者有没有在主持人读题的期间按下抢答器,有则相应的红灯亮,同时取消其本轮抢答资格。    (4) 计时功能   可以预置时间,可以进行倒计时并且将时间显示出来。    (5) 计分功能
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:956489
    • 提供者:孙国栋
  1. C51

    0下载:
  2. DA转化模块0809是8位8通道逐次逼近式A/D转换器,CMOS工艺,可实现8路模拟信号的分时采集,片内有8路模拟选通开关,以及相应的通道地址锁存用译码电路,其转换时间为100us左右。 OCM12864 液晶显示模块是128×64 点阵型液晶显示模块,可显示各种字符及图形,可与CPU 直接接口,具有8 位标准数据总线、6 条控制线及电源线,采用KS0108 控制IC -DA conversion module 0809 is an 8-bit 8-channel successive
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:21599
    • 提供者:miugedeon
  1. FourquizResponder3

    0下载:
  2. 1.设计一个可供4名选手参加比赛的4路数字显示抢答器。他们的编号分别为“1”、“2”、“3”、“4”各用一个抢答按钮,编号与参赛者的号码一一对应。   2.抢答器具有数据锁存功能,并将锁存的数据用LED数码管显示出抢答成功者的号码。   3.抢答器对抢答选手动作的先后有很强的分辨能力,即使他们的动作仅相差几毫秒,也能分辨出抢答者的先后来。即不显示后动作的选手编号。   4.主持人具有手动控制开关,可以手动清零复位,为下一轮抢答做准备。 -1. To design a game
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:221576
    • 提供者:李晓翔
  1. scanner

    0下载:
  2. 扫描显示译码控制部分用一个频率1KHz的信号扫描一个多路选择器,实现对六位已经锁存的计数结果的扫描输出-Scan revealed a decoding control part of the signal with a frequency of 1KHz scan more than one MUX to achieve a count of six has been the results of the scan latch output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:926
    • 提供者:安安
  1. pipeline_ADC_PLL

    0下载:
  2. 该文档提出了一种应用于开关电容流水线模数转换器的CMoS预运放一锁存比较 器.该比较器采用UMC混合/射频0.18肛m 1P6M P衬底双阱CMOS工艺设计,工作电压为 1.8 V.该比较器的灵敏度为0.215 mV,最大失调电压为12 mV,差分输入动态范围为1.8 V,分辨率为8位,在40 M的工作频率下,功耗仅为24.4 ttW.基于0.18 gm工艺的仿真结 果验证了比较器设计的有效性.-A CMOS preamplifier-latch comparator used
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:361661
    • 提供者:赵恒
  1. 223

    0下载:
  2. 本文介绍了四路智力抢答器的分模块设计电路及各模块的逻辑功能及其电路和波形展示。所设计的抢答器除了基本的锁存和复位功能外,还有其它功能:席位灯亮且扬声器响提示抢答成功;数码显示选手号码和两个倒计时(抢答和回答);倒计时可设定(根据需要对计数器置数);抢答倒计时可暂停,对同一道题可多次抢答直至计时归零时响警报;回答倒计时有5秒倒计时警报等。这些功能都使得该器件更加贴近现实,更加智能化、人性化-In this paper, it introduces that 4-wire answering dev
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:679227
    • 提供者:杨汉轩
  1. 32bitshiftregister

    0下载:
  2. 32位带锁存移位寄存器,采用verilog HDL语言编写,可用于串并转换-32-bit shift register with latches, using verilog HDL language can be used for string and convert
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:739
    • 提供者:张建
  1. 478195623187

    0下载:
  2. 单片机八路数码抢答器设计,编码电路 锁存电路 译码电路 显示电路-Eight-way digital Responder MCU design, coding circuit latch circuit decoding circuit display circuit
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1367252
    • 提供者:陈琪
  1. shuzi

    1下载:
  2. 设计一个采用数字电路实现,对时,分,秒.数字显示的计时装置,周期为24小时,显示满刻度为23时59分59秒,并具有校时功能和报时功能的数字电子钟。电路主要采用中规模集成电路.本系统的设计电路由脉冲逻辑电路模块、时钟脉冲模块、时钟译码显示电路模块、整电报时模块、校时模块等部分组成。采用电池作电源,采用低功耗的芯片及液晶显示器,发生器使用石英晶振、计数振荡器CD4060及双D触发器74LS74,计数器采用同步双十进制计数器74LS160,锁存译码器是74LS248,整电报时电路用74LS74,74L
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:449314
    • 提供者:张龙
  1. IntellectualCompetitionQuizMachine

    0下载:
  2. 这是一个抢答器的设计,包括Proteus的仿真图,实现30秒抢答和锁存、犯规等功能-This is an answering device design, including the Proteus simulation map, achieving 30-second answer in and latches, fouls and other functions
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:76533
    • 提供者:duan
  1. 40XX

    0下载:
  2. CD40XX系列芯片PDF资料汇总,含单片机嵌入式卡发常用译码、驱动、锁存、寄存器、触发器-CD40XX chips PDF fact sheets, including single chip embedded cards issued common decoding, driving, latches, registers, flip-flop
  3. 所属分类:SCM

    • 发布日期:2017-05-14
    • 文件大小:3321618
    • 提供者:张建军
  1. MIMASUO

    0下载:
  2. 伴随着集成电路(IC)技术的发展,EDA技术已经成为现代电子设计的发展趋势,并在各大公司、企事业单位和科研教学部门广泛使用。VHDL是一种全方位的硬件描述语言,几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。本文阐述了EDA的概念和发展、VHDL语言的优点和语法结构并分析讲解了智能抢答器的各模块的功能要求、基本原理以及实现方法。本系统的设计就是采用VHDL硬件描述语言编程,基于Quartus II平台进行编译和仿真来实现的,其采用的模块化、逐步细
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:179213
    • 提供者:RONG
« 1 2 ... 9 10 11 12 13 1415 16 »
搜珍网 www.dssz.com