CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - multiplier

搜索资源列表

  1. mult8x8

    0下载:
  2. 一个用VerilogHDL语言编写的8X8的乘法器-a Verilog HDL language used in the preparation of the multiplier 8X8
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17491
    • 提供者:胡东
  1. verilog_multiplier

    1下载:
  2. verilog实现16*16位乘法器,带测试文件-verilog achieve 16 * 16 multiplier, with test documents
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:25697
    • 提供者:zzm
  1. comple_mult

    0下载:
  2. matlab下,使用dspbuilder实现的复数乘法器模块的源码-Matlab, the use of the plural dspbuilder achieve multiplier module FOSS
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13404
    • 提供者:孙昱
  1. downcnt

    0下载:
  2. 倒数计数器,用于各种乘法器的应用,或者其他应用当中-countdown counter, the multiplier used for various applications, or other applications which
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:782
    • 提供者:朱盼
  1. mux4x1_vhdl

    0下载:
  2. mux4*1 vhdl 乘法器源码 经过测试直接可用-mux4 * a source vhdl multiplier can be directly tested
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:3841
    • 提供者:南晓波
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. fisher_identify

    0下载:
  2. 学进一步了解分类器的设计概念,能够根据自己的设计对线性分类器有更深刻地认识,理解Fisher准则方法确定最佳线性分界面方法的原理,以及Lagrande乘子求解的原理。-school with a better understanding of classification of the design concept, According to the design of linear classification for a more profound understanding, Fisher
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2356
    • 提供者:fengzheng
  1. ycrcb_rgb

    0下载:
  2. YUV转RGB的源程序,使用到了硬件加速器,可利用FGPA的乘法器加速处理速度。-YUV to RGB source, the use of a hardware accelerator, FGPA can be used to speed up the processing speed multiplier.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:108323
    • 提供者:cloud
  1. 15_MUX41

    0下载:
  2. 乘法器,用VHDL语言编码,可能对你用处不是很大,但做为参考还是很大用处的-multiplier using VHDL coding, you may not have much use, but as a reference or very useful
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:6785
    • 提供者:jinlong
  1. shixuchengfa

    1下载:
  2. 时序乘法器,8位x8位,vhdl语言.仿真验证过了.多多交流!-sequential multiplier, eight x8 spaces vhdl language. Simulation before. Interact more!
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1847
    • 提供者:天禄
  1. verilog.HDL.examples

    1下载:
  2. 许多非常有用的 Verilog 实例: ADC, FIFO, ADDER, MULTIPLIER 等-many very useful Verilog examples : ADC, FIFO, ADDER, MULTIPLIER etc.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:188277
    • 提供者:张驰
  1. booth

    0下载:
  2. -- Booth Multiplier -- This file contains all the entity-architectures for a complete -- k-bit x k-bit Booth multiplier. -- the design makes use of the new shift operators available in the VHDL-93 std -- this design passes the Synplify
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1791
    • 提供者:leanne
  1. Booth_mutipler

    0下载:
  2. 布思基四乘法器实现,很好用,快来看,希望对大家有所帮助.-Busaiji four multiplier, useful, Come see, we want to help.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1484271
    • 提供者:fghgh
  1. CHENGFAQI

    0下载:
  2. 本源码是高速并行乘法器的设计源码,开发软件为MAX+PLUS.输入为两个带符号的二进制数-the source is a high-speed parallel multiplier design source, development of software for MAX PLUS. with the importation of two symbols of binary -
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:980
    • 提供者:朱冬梅
  1. ST710ADS

    0下载:
  2. ST ARM7 STR710系统处理器在ADS1.2环境下的源码库,该库包含所有官方函数接口,对编写STR710 ARM7处理器的程序非常有用,对完成项目定能起到事半功倍的效果。极力推荐-ST ARM7 processors in ADS1.2 environment for the source, The library contains all official function interface, the preparation STR710 ARM7 processor procedu
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:1624207
    • 提供者:hou dong
  1. C_9

    0下载:
  2. 100个经典vhdl编程实例, 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器...... -100 vhdl classical programmi
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:337411
    • 提供者:袁虎
  1. GPS_code_nco

    3下载:
  2. 这是GPS接收机,基带处理模块中累加模块设计代码,用于码跟踪环。代码设计巧妙,避免了消耗FPGA中比较稀缺的硬件乘法器资源。-This is the GPS receiver, Baseband Processing Module cumulative module design code for the code tracking loop. Code so cleverly designed to avoid the consumption of more FPGA hardware mul
  3. 所属分类:GPS编程

    • 发布日期:2008-10-13
    • 文件大小:1867
    • 提供者:吴飞
  1. Thedesignof32×32-bmultiplier

    0下载:
  2. ~~~ ~32*32的乘法器-~~~ ~~~ ~ 32 * 32 Multiplier
  3. 所属分类:成功激励

    • 发布日期:2008-10-13
    • 文件大小:147980
    • 提供者:陈声华
  1. Sy1

    0下载:
  2. 用C语言实现的乘法器-C language Multiplier
  3. 所属分类:数值算法/人工智能

    • 发布日期:2008-10-13
    • 文件大小:1355
    • 提供者:蒙蒙
  1. MULT8X8F

    0下载:
  2. 8x8 Software Multiplier in PIC5X
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1215
    • 提供者:zwj
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 50 »
搜珍网 www.dssz.com