CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog

搜索资源列表

  1. Verilog

    0下载:
  2. 实用的verilog编程经验,推荐初学者详细阅读,-Verilog programming practical experience, recommended for beginners to read in detail,
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-09
    • 文件大小:1326885
    • 提供者:liuman
  1. syndetect

    0下载:
  2. 帧同步检测,verilog代码 是同步保护的经典范例-frame detection, verilog code
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2014-01-05
    • 文件大小:1134
    • 提供者:leng
  1. SystemVerilog_2nd.pdf

    1下载:
  2. System Verilog 验证设计。主要讲如何编写测试用例。设计数字电路比较经典的教程。-System Verilog design verification. Mainly about how to write test cases. Digital circuit design more classic tutorial.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1982357
    • 提供者:david lee
  1. config_ad6636

    0下载:
  2. 用Verilog正确配置ad6636,,在ISE环境中正确编译与实现-Properly configured with the Verilog ad6636,, compiled in the ISE environment and realization of the right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:815
    • 提供者:dengxiaosong
  1. dds

    0下载:
  2. verilog语言编写,在Quartus II里仿真DDS的产生,包括所有仿真生成的相关文件,-verilog language in the Quartus II DDS in the generation of simulation, including all documents generated by the simulation,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:3013196
    • 提供者:颜小超
  1. viterbi

    2下载:
  2. verilog程序,实现了(2,1,4)卷积码编码,和基于回溯算法的维特比译码器-verilog program to achieve the (2,1,4) convolutional code encoding, and algorithm based on the back of the Viterbi decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:2881
    • 提供者:xiongherui
  1. aes_verilog

    1下载:
  2. A RTL verilog coding for the project AES, which is a cryptography based concepts
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7396016
    • 提供者:siva
  1. USB_kz

    0下载:
  2. 提供Cy7C68013 USB芯片开发源程序,由verilog编写-Cy7C68013 USB chip to provide the development of source code, prepared by the Verilog
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:1193
    • 提供者:sky
  1. SPI_interface.ZIP

    0下载:
  2. SPI接口代码,非常精简,verilog版本。-SPI interface code, very streamlined, verilog version.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:7026
    • 提供者:ice
  1. 2fsk_final

    1下载:
  2. 全数字fsk调制解调的实现 verilog源码-All-digital realization of fsk modem verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:674626
    • 提供者:liulei
  1. ldcp_verilog

    2下载:
  2. ldpc verilog 程序 做ldpc硬件实现的可以-ldpc verilog procedures do LDPC hardware implementation can
  3. 所属分类:Compiler program

    • 发布日期:2017-03-23
    • 文件大小:9323
    • 提供者:nzh
  1. Div3

    0下载:
  2. 一个除3器的Verilog源码,用于视频解码器的熵解码部分。纯组合逻辑,大小和加法器差不多。-In addition to device a Verilog source code 3, the video decoder for entropy decoding part. Pure combinational logic, about the size and adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:106400
    • 提供者:闫煜
  1. Chapter6-9

    3下载:
  2. 第六章到第九章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-11
    • 文件大小:6281027
    • 提供者:xiao
  1. dft

    1下载:
  2. verilog语言实在点变换DFT源代码,可以配合软核或者其他CPU进行综合FFT变换,也可以单独使用生成module!-verilog language is point FFT transform source code, can tie in with the soft-core CPU, or other integrated FFT transform, it can be used to generate module!
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-23
    • 文件大小:1399
    • 提供者:刘庆
  1. ASK_modulator

    1下载:
  2. 振幅键控ASK的调制解调Verilog实现,带测试文件-ASK amplitude shift keying modulation and demodulation Verilog implementation, with the test file
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-07
    • 文件大小:40818
    • 提供者:wang
  1. H.264Decoder

    1下载:
  2. H.264解码器,用verilog写成,可以在FPGA上实现baseline的264解码-H.264 decoder, written with verilog, can be achieved in the FPGA on the baseline of 264 decoding
  3. 所属分类:2D Graphic

    • 发布日期:2017-05-17
    • 文件大小:5109760
    • 提供者:sunwind
  1. fir_lms

    3下载:
  2. 基于FPGA的自适应滤波器的实现。采用Verilog编程,2阶滤波器。-FPGA-based realization of the adaptive filter. Using Verilog programming, 2-order filter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:11944
    • 提供者:田文军
  1. SDRAM_CONTROLlER_Modelsim

    0下载:
  2. SDRAM控制器,Verilog代码编写,让你快速了解SDRAM的读写时序。包含Modelsim仿真工程和文档-SDRAM controller, Verilog coding, allows you to quickly understand the SDRAM read and write timing. Modelsim simulation engineering and contains study notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2303247
    • 提供者:小单
  1. divider16

    1下载:
  2. 16位小数除法器verilog源码,可综合的,已经仿真过。-16bit fractional numeral divider verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:652
    • 提供者:maxwellqq
  1. I2C

    2下载:
  2. Verilog 实现 IIC 源码,包括各个时序信号的详细描述-Verilog code for IIC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-08-05
    • 文件大小:17671
    • 提供者:张强
« 1 2 ... 38 39 40 41 42 4344 45 46 47 48 49 50 »
搜珍网 www.dssz.com