CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 锁频环

搜索资源列表

  1. PLL

    0下载:
  2. 基于EP2C8的锁相环倍频文件 原来时钟为25Mhz 倍频为100Mhz-File the original clock of the EP2C8 the phase locked loop frequency multiplier 25Mhz for 100Mhz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:383556
    • 提供者:Young
  1. eda

    0下载:
  2. EDA 正弦信号发生器:正弦信号发生器的结构有四部分组成,如图1所示。20MHZ经锁相环PLL20输出一路倍频的32MHZ片内时钟,16位计数器或分频器CNT6,6位计数器或地址发生器CN6,正弦波数据存储器data_rom。另外还需D/A0832(图中未画出)将数字信号转化为模拟信号。此设计中利用锁相环PLL20输入频率为20MHZ的时钟,输出一路分频的频率为32MHZ的片内时钟,与直接来自外部的时钟相比,这种片内时钟可以减少时钟延时和时钟变形,以减少片外干扰 还可以改善时钟的建立时间和保持时
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:33974
    • 提供者:王丽丽
  1. Constant_PQ_Microgid_matlab

    0下载:
  2. 逆变器并网发电的主要是逆变器输出正弦波电流的控制技术,要求与电网同频同相的电流,此matlab模型中使用锁相环技术,恒功率控制,LCL滤波器技术使达到并网要求-Constant_PQ_Microgid
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:524628
    • 提供者:王培
  1. LC7218-PLL-89C51

    1下载:
  2. 89C51单片机控制LC7218锁相环电路,根据预置分频系数显示频率-89C51 microcontroller to control the LC7218 PLL, display frequency according to the preset frequency coefficient
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:24582
    • 提供者:cjb
  1. dpll1600e

    0下载:
  2. 数字锁相环的设计,包括鉴相器,环路滤波器,spi口输出,分频器的源代码-Digital phase-locked loop design source code, including the phase detector, loop filter, spi port output divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:370452
    • 提供者:zhujianhua
  1. pll

    0下载:
  2. 430单片机的锁相环技术,通过调节锁相环的倍频系数来调节单片机运行速度-The phase-locked loop technology 430 singlechip, through the multiplier factor regulating phase-locked loop to regulate the microcontroller running speed
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:17121
    • 提供者:iolc
  1. pll_carrier_syn

    1下载:
  2. 本程序是锁相环的仿真程序,具有接收端载波同步的功能。注释详尽,程序规范。发端的调制方式有单载波调制,BPSK调制,QPSK调制可供选择。程序中有星座图,锁相环的频差、相差图,以及解调后的基带波形。-This program is a phase-locked loop simulation program, the with carrier synchronization receiving end function. Notes detailed program specifications.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-11-18
    • 文件大小:4237
    • 提供者:liuwei
  1. 03.MCF5225X_PLL-----

    0下载:
  2. 飞思卡尔mcf52255锁相环,倍频80M,可自己更改-the pll about freecale mcf5255,you can choose the times you need
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-17
    • 文件大小:215040
    • 提供者:哈哈
  1. GPS

    1下载:
  2. 导航仿真实验,包括锁频换,锁相环,伪码的产生,调制等,比较全。-Navigation simulation experiments, including locked exchange, PLL, pseudo-code generation, modulation, etc., more full.
  3. 所属分类:Other systems

    • 发布日期:2017-11-17
    • 文件大小:2777231
    • 提供者:qi
  1. PLL_test

    0下载:
  2. 基于DSP6713,对DSP内的锁相环相关的寄存器进行设置,实现锁相环倍频功能,DSP入门级资料。-Based on the DSP6713, the DSP phase-locked loops in the relevant register set, realization of PLL frequency multiplier function, DSP entry-level data.
  3. 所属分类:DSP program

    • 发布日期:2017-11-18
    • 文件大小:1184897
    • 提供者:李华
  1. 74HC595--SPI-used-LED

    1下载:
  2. stm32通过spi口与74hc595相连,然后由74hc595控制8盏灯的闪灭,注意该工程所用外接高速晶振为12MHZ,而大部分板子为8M赫兹,使用时需要修改锁相环倍频系数。-stm32 through spi port is connected with 74hc595 and eight lights are ocontrolled by the 74hc595 , note that works with an external high-speed oscillator is 12M
  3. 所属分类:SCM

    • 发布日期:2017-11-03
    • 文件大小:1477862
    • 提供者:zhangzheng
  1. adf4350

    1下载:
  2. adf4133锁相环程序,目前是输出固定的几个频点,如果想改成可以改频的,可以自己加个串口,自己定义协议即可-adf4133 PLL program, is currently a fixed number of output frequency, the frequency can be changed if you want to change, you can add their own serial ports, you can define your own protocol
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:714171
    • 提供者:孙乾
  1. a

    0下载:
  2. PLL350锁相环源码,设置频率、分频比等等其他功能-PLL350 PLL source, set the frequency division ratio, etc. Other features
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:1233
    • 提供者:ss
  1. ADtest

    0下载:
  2. FPGA外接20MHz晶振,建立锁相环,再分频得到10MHz和8MHz时钟-I can t.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:398029
    • 提供者:xuyue
  1. xs128PLL-and-pwmInit

    0下载:
  2. xs128利用PLL锁相环技术超频设置及pwm初始化设置-xs128 PLL and PWM init
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1030
    • 提供者:吕宇宙
  1. dpll2

    0下载:
  2. 数字锁相环的vdhl实现,鉴相器,计数器,压控振荡器,和分频器-Vdhl DPLL implementation, the phase detector, a counter, a voltage controlled oscillator, and a frequency divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:727
    • 提供者:朱小波
  1. dpll

    0下载:
  2. 用verilog编写的全数字锁相环,包括鉴相器,模K计数器,加减脉冲模块和分频模块,都经过验证-verilog based digital phase lock loop design, including phase detector,mode K counter, increment/decrement counter and frequency divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6231
    • 提供者:chi zhang
  1. fp1-40-1_1

    0下载:
  2. fpga任意频率输出,精度《=2 ,串口控制分频系数,从50hz-51.2k精确分频,其中还包括小数点的处理。 通信部分:波特率处理模块、数据接受模块、数据校验及解码模块 分频部分:altpll锁相环模块,分频数计算模块、小数0.5检验模块、分频模块 -fpga any frequency output accuracy " = 2 , serial control division factor, from 50hz-51.2k precision divider, whi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:7121010
    • 提供者:houjiajun
  1. pll_sigma-delta

    1下载:
  2. 这是用simulink仿真的一个小数分频锁相环(fraction_N PLL),使用了sigma-delta modulator和8/9预分频,这只是其中一部分,如果再想实现细节,还有待更深入,可以联系我qq790290115-fraction_N PLL using simulink(2013a),it includes sigma-delta modulator and 8/9 prescaler
  3. 所属分类:matlab

    • 发布日期:2017-04-26
    • 文件大小:18562
    • 提供者:donguuuu
  1. pll_prj

    0下载:
  2. PLL配置仿真实验 PLL,即锁相环。简单的理解,给PLL 一个时钟输入(一般是外部晶振时钟), 然后经过PLL 内部的处理以后,在PLL 的输出端口就可以得到一定范围的时钟频 率。其之所以应用广泛,因为从PLL 输出得到的时钟不仅仅从频率和相位上比较 稳定,而且其时钟网络延时也相比内部逻辑产生的分频时钟要小得多。-Altera FPGA Cyclone
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:128599
    • 提供者:相同
« 1 2 3 4 56 »
搜珍网 www.dssz.com