CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VerilogHDL

搜索资源列表

  1. VerilogHDL_advanced_digital_design_code_Ch8

    0下载:
  2. VerilogHDL_advanced_digital_design_code_Ch8 VerilogHDL高级数字设计源码Ch8
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:30423
    • 提供者:宇飞
  1. VerilogHDL_advanced_digital_design_code_Ch9

    0下载:
  2. VerilogHDL_advanced_digital_design_code_Ch9 VerilogHDL高级数字设计源码Ch9
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:67769
    • 提供者:宇飞
  1. VerilogHDL_advanced_digital_design_code_Ch10

    0下载:
  2. VerilogHDL_advanced_digital_design_code_Ch10 VerilogHDL高级数字设计源码Ch10
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:53119
    • 提供者:宇飞
  1. VerilogHDL_advanced_digital_design_code_Ch11

    0下载:
  2. VerilogHDL_advanced_digital_design_code_Ch11 VerilogHDL高级数字设计源码Ch
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:39536
    • 提供者:宇飞
  1. VerilogHDL_advanced_digital_design_code_Clock_gene

    0下载:
  2. VerilogHDL_advanced_digital_design_code_Clock_generator VerilogHDL高级数字设计源码Clock_generator
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1486
    • 提供者:宇飞
  1. display

    0下载:
  2. 使用VerilogHDL语言实现硬件的开发模拟,本程序是实现数码管的模拟显示
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-17
    • 文件大小:199838
    • 提供者:夏冬雪
  1. show

    0下载:
  2. 使用VerilogHDL语言实现硬件的开发模拟,本程序是实现静态数码管的模拟显示
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-17
    • 文件大小:212570
    • 提供者:夏冬雪
  1. uart2iic

    0下载:
  2. UART转I2C的Verilog HDL代码,由北京邮电大学《VerilogHDL设计与EDA技术基础》教师编写
  3. 所属分类:串口编程

    • 发布日期:2014-01-17
    • 文件大小:2581
    • 提供者:emulous
  1. demo_24c01a

    0下载:
  2. 24C01A的Verilog HDL仿真代码,用于I2C接口模块的测试,由北京邮电大学《VerilogHDL设计与EDA技术基础》教师编写
  3. 所属分类:串口编程

    • 发布日期:2014-01-17
    • 文件大小:1158
    • 提供者:emulous
  1. alaw_mulaw

    0下载:
  2. 这是一个量化编码当中关于A律和u律压缩和扩展的源程序,程序由VerilogHDL语言编写,算法在Modelsim上进行仿真过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:62975
    • 提供者:刘柳
  1. VerilogHDL

    0下载:
  2. 《设计与验证Verilog HDL》光盘内容
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1016302
    • 提供者:jzhupo
  1. dj052

    0下载:
  2. VerilogHDL设计的具有传感器探测主、支路车流量以控制灯延时的交通灯
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1498474
    • 提供者:changle
  1. X-HDL3.2.52

    1下载:
  2. VHDL与VerilogHDL语言之间相互转换
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:3959263
    • 提供者:
  1. PN_chuan

    0下载:
  2. 生成18级的m序列的VerilogHDL程序, 很具有代表性的算法
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:240302
    • 提供者:孙浩
  1. sine

    1下载:
  2. 用VerilogHDL实现的产生Sine波形全部程序 个人验证后收藏的。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3567198
    • 提供者:孙浩
  1. Rate_Adjust

    0下载:
  2. VerilogHDL开发,可以下载到FPGA上运行,实现波特率的匹配。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:88545
    • 提供者:魏松
  1. taxi

    0下载:
  2. 在Quatus下用VerilogHDL语言编写,实现出租车计价器功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:381148
    • 提供者:baohaitao
  1. Ethernet_verilog_ip_core

    1下载:
  2. Ethernet(以太网)verilog ip core用verilogHDL语言写的以太网软核,对学习verilog语言和以太网有很大帮助。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:903918
    • 提供者:houlongting
  1. veriloghdl

    0下载:
  2. 来自精益求精的德国人讲授的VERILOG课件,想接触FPGA/CPLD开发的人是必看的课件。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4944768
    • 提供者:王方
  1. jianpansaomiao__verilog

    0下载:
  2. 一个veriloghdl编写的键盘扫描程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:734262
    • 提供者:zhangzhenqi
« 1 2 3 4 5 67 8 9 10 11 ... 28 »
搜珍网 www.dssz.com