CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 正弦信号 频率

搜索资源列表

  1. phase

    1下载:
  2. 设计了一基于现场可编程门阵列(FPGA)的低频数字式相位测量仪。该测量仪包括数字式移相信号发生器和相位测量仪两部分,分别完成移相信号的发生及其频率、相位差的预置及数字显示、发生信号的移相以及移相后信号相位差和频率的测量与显示几个功能。其中数字式移相信号发生器可以产生预置频率的正弦信号,也可产生预置相位差的两路同频正弦信号,并能显示预置频率或相位差值;相位测量仪能测量移相信号的频率、相位差的测量和显示。两个部分均采用基于FPGA的数字技术实现,使得该系统具有抗干扰能力强, 可靠性好等优点。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:604656
    • 提供者:郑淑琴
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. DDS

    0下载:
  2. VHDL实现谐波检测信号发生的DDS. 同时发出正弦波,三角波,正弦波2倍频后的方波。波形频率相位可调。-VHDL implementation of harmonic detection signal of the DDS. Also issued a sine wave, triangle wave, sine wave, after square-wave frequency 2. Phase adjustable frequency waveform.
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:17133
    • 提供者:徐博
  1. WaveformGenerator

    0下载:
  2. 波形发生器设计与总结报告 摘 要:本设计是基于信号发生芯片MAX038的多功能波形发生器。由MAX038、D\A转换,MAX414运算放大器、LCD12864显示、单片机以及外围电路构成的多波形发生器。利用MAX038产生正弦波、三角波、锯齿波、方波的波形,单片机通过D\A转换对MAX038的控制,从而实现频率和占空比的步进调控,在1Hz~2.4MHz内产生任意正弦波、三角波、锯齿波和方波。 采用MAX414和TLC549构成信号放大采样电路,用液晶模块LCD12864可实现实时显示波
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:150724
    • 提供者:liaoyintang
  1. AD9854

    2下载:
  2. AD9854允许输出的信号频率高达150MHZ,而数字调制输出频率可达100MHZ。通过内部高速比较器正弦波转换为方波输出,可用作方便的时钟发生器。-AD9854 allows the output signal frequency up to 150MHZ, and digital modulation output frequency up to 100MHZ. Through an internal high-speed comparator is converted to square
  3. 所属分类:software engineering

    • 发布日期:2016-07-23
    • 文件大小:8062
    • 提供者:朱重生
  1. DDS-baseddesignofthesinusoidalsignalgenerator

    0下载:
  2. 本设计采用AT89552单片机,辅以必要的模拟电路,实现了一个基于直接数字频率合成技术(DDS)的正弦谊号发生器。设计中采用DDS芯片AD9850产生频率1KHZ~10MHZ范围内正弦波,采用功放AD811控制输出电压幅度, 由单片机AT89S52控制调节步进频率1HZ。在此基础上,用模拟乘法器MC1496实现了正弦调制信号频率为1KHZ的模拟相度调制信号;用FPGA芯片产生二进制NRZ码,与AD9850结合实现相移键控PSK、幅移键控ASK、频移镇键FSK。-AT89552 the singl
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:209208
    • 提供者:何蓓
  1. SimplestatisticsshowthattheexchangeofMillivoltmete

    0下载:
  2. 本系统分电压测量和信号产生输出两大部分,电压测量部分以模拟电路为主,配合放大模块、A/D转化模块、显示模块;通过凌阳单片机进行数据处理,在误差允许范围内显示测量电压值。信号产生以直接数字式频率合成器(Direct Digital Frequency Synthesis,简称DDS或DDFS)为核心,经过AT89S52对DDS芯片内部进行控制,使之输出标准正弦波形,利用编程实现频率预置、步进,达到电压输出频率的可调节步进。通过调试与测量完成了题目的基本部分和全部发挥部分的要求并有自己的创新-Thi
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:589950
    • 提供者:杨隆
  1. kav7key090507

    0下载:
  2. 以把直接数字频率合成(DDS)看成这样一种技术,它能用数字值形式的信号控制正弦波的频率。最简单的DDS电路包括一个二进制计数器,一个以等间隔正弦波值进行全波编程的ROM,以及一个数模转换器,用于将存储的正弦波值转换为电压。计数器的时钟频率决定了正弦波的频率,但这种结构并不灵活。 -To the Direct Digital Synthesis (DDS) as such a technology, it can use the digital value of the form of the
  3. 所属分类:Software Testing

    • 发布日期:2017-04-01
    • 文件大小:24541
    • 提供者:huangz
  1. vcPP

    0下载:
  2. VC++设计图用集成信号发生节点产生正弦波,设信号频率为60,采样频率为1000,采样点数为50-VC++ design with integrated signal node generates a sine wave signal frequency set at 60, the sampling frequency of 1000, 50 sampling points
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:4461
    • 提供者:易水寒
  1. sinusoidal-signal-generator

    0下载:
  2. 正弦信号发生器。简述了一种可调剂频率的正弦信号发生器的方法-The design of the sinusoidal signal generator
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:213284
    • 提供者:kangxinlong
  1. 51_sin_signal_paper

    0下载:
  2. 基于51单片机实现正弦波信号频率可调输出课设报告-Based on 51 single-chip class-based report of the sine wave signal frequency adjustable output
  3. 所属分类:software engineering

    • 发布日期:2017-05-02
    • 文件大小:945483
    • 提供者:陈垚木
  1. LC

    0下载:
  2. 本系统是以FPGA(EP2C8Q240C8)为控制核心,由压控振荡器、PLL倍频器、高频功率放大器、遥控器及LCD显示模块组成的压控LC振荡器。能实现输出正弦波频率在15MHZ~35MHZ步进可调,其最小步进为5002HZ,频率稳定度为10-5。当输出信号的频率为30MHZ、峰峰值稳定在1V左右时,在+12V单电源工作的情况下,功率放大器能实现在50Ω纯阻性和50Ω+20pf容性负载上输出功率大于20mw。LCD显示模块能实时显示输出信号的峰峰值和频率,精度由于10 。-This system
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:293873
    • 提供者:tian
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. FFTfrequency

    1下载:
  2. 基于labview测正弦信号频率,使用FFT的算法,然后进行波峰检测-Based labview measured frequency
  3. 所属分类:Software Testing

    • 发布日期:2017-11-22
    • 文件大小:19715
    • 提供者:wentian
  1. sin-creater

    0下载:
  2. 本文通过一种较简单的方法,设计了频率可调的正弦信号函数发生器。本文设计的正弦波发生器通过改变取点的步长实现频率可调,通过加法器获得输出点地址,调用ROM存储单元的数据,实现了正弦波发生器的设计。包括源码以及仿真结果,完整实验报告~-This article through a relatively simple method, the design of the sinusoidal signal of the frequency tunable function generator. Pape
  3. 所属分类:Project Design

    • 发布日期:2017-11-23
    • 文件大小:286555
    • 提供者:刘雅琦
  1. dddd

    0下载:
  2. 这是基于单片机自动量程的频率计的PPT,里面包含了方案的总体硬件框图,以及5V稳压电源电路的设计,以及以高频为10kHz、低频为800Hz的正弦波频率和方波频率两种频率信号进行比较-This is a microcontroller based automatic frequency range meter PPT, which contains a hardware block diagram of the overall program, as well as 5V power supply
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:603265
    • 提供者:dang
  1. Electronic-Information-System

    0下载:
  2. 利用单片机编写成书,通过放大录播等电路,实现正弦信号的产生,并可以通过程序控制输出波的频率,并在数码管上显示当前频率。-Using SCM to write a book, taped by amplifying circuit, generating a sinusoidal signal, and can be programmed to control the output frequency of the wave, and displays the current frequency
  3. 所属分类:Project Design

    • 发布日期:2017-05-17
    • 文件大小:4403200
    • 提供者:chen
  1. segmented-FF-T

    0下载:
  2. 对利用分段 FF T 的相位差估计正弦信号的频率和初 相的误差进行了分 析- this paper, the frequency and the error of the initial phase are analyzed by using the phase difference of the segmented FF T
  3. 所属分类:Project Design

    • 发布日期:2017-12-12
    • 文件大小:166275
    • 提供者:
  1. sample

    0下载:
  2. 对连续时间正弦信号x(t) sin(2π t+ ),可以按抽样频率 1/ 对x(t)抽样来获得离散时间信号x[n] x(t) sin( ). 以不同的组合f0和fs绘出x[n],可以说明混叠问题。以下,去抽样频率fs 8kHZ. (a)绘出一个被抽样的正弦波单图。正弦波频率300Hz,10ms间隔上抽样,相位任意指定。使用stem绘图。 (b)使用plot绘图。 (c)将正弦波频率从100Hz变至475Hz,每次增加125Hz。绘图。 (d)将正弦波频率从7525H
  3. 所属分类:Software Testing

    • 发布日期:2017-12-14
    • 文件大小:16453
    • 提供者:张丽丽
  1. AD9851设计的DDS信号发生器原理图加PCB

    0下载:
  2. 6倍频,30M晶体,内部时钟180M,可生成方波正弦波,三角波三种扫频信号,频率到达几十M轻轻松松。
  3. 所属分类:软件工程

« 12 »
搜珍网 www.dssz.com