CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DPLL

搜索资源列表

  1. DPLL

    0下载:
  2. 数字锁相环DPLL实例程序,帮助理解PLL的结构和详细原理-DPLL DPLL examples of procedures to help understand the structure and PLL detailed Principle
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:986
    • 提供者:李向坤
  1. dpll

    0下载:
  2. DPLL由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成. 整个系统的中心频率(即signal_in和signal_out的码速率的2倍) 为clk/8/N. 模K加减计数器的K值决定DPLL的精度和同步建立时间,K越大,则同步建立时间长,同步精度高.反之则短,低.
  3. 所属分类:RFID编程

    • 发布日期:2008-10-13
    • 文件大小:1386
    • 提供者:sharny
  1. dpll

    0下载:
  2. Quantization effect on a 2nd order DPLL design When quantization resoultion b varies, the DPLL has different outputs. This difference can be seen from step response, sine and other inputs (chirp as illustrative example).
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1240
    • 提供者:dairy
  1. DPLL(VHDL).rar

    0下载:
  2. 使用VHDL语言进行的数字锁相环的设计,里面有相关的文件,可以使用MUX+PLUS打开,The use of VHDL language of digital phase-locked loop design, there are relevant documents, you can use MUX+ PLUS Open
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:13717
    • 提供者:国家
  1. dpll

    1下载:
  2. dpll的verilog代码,完成数字锁相。用于时钟对准,位同步。-dpll the verilog code to complete the digital phase-locked. Alignment for the clock, bit synchronization.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1181
    • 提供者:hsj
  1. FPGA-based-design-of-DPLL

    0下载:
  2. 采用VHDL设计的全数字锁相环电路设计,步骤以及一些详细过程介绍。-VHDL design using all-digital PLL circuit design, detailed process steps and some introduction.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:416605
    • 提供者:阿啊
  1. dpll

    0下载:
  2. 数字锁相环,这里有个例子,可以借鉴看看,用simulink搭建的-dpll
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:10962
    • 提供者:Shane
  1. DPLL

    1下载:
  2. 数字锁相环频率合成器的vhdl实现的源代码-Digital PLL Frequency Synthesizer vhdl source code to achieve
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:540021
    • 提供者:sunnyhp
  1. dpll

    3下载:
  2. 基于Verilog的数字锁相环。包括三个模块,数字鉴相器DPD、数字环路滤波器DLF、数控振荡器 DCO三部分构成-Verilog-based digital PLL. Consists of three modules, the digital phase detector DPD, digital loop filter DLF, digitally controlled oscillator DCO three parts
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-27
    • 文件大小:668875
    • 提供者:栾帅
  1. 5509A_USB_APLL_TO_DPLL

    0下载:
  2. This document describes how to switch to and program the unisersal serial bus (USB) analog phase-locked loop (APLL) on the C5506/C5507/C5509A devices. Example assembly programs for programming and switching to and from the APLL are also provide
  3. 所属分类:DSP program

    • 发布日期:2017-04-15
    • 文件大小:3346
    • 提供者:han
  1. 5509A_USB_DPLL_TO_APLL

    0下载:
  2. The TMS320VC5506/C5507/C5509A USB peripherals can be clocked from either the USB APLL or the USB DPLL. Since the APLL is inherently more noise tolerant and has less long-term jitter than the DPLL, it is recommended that you switch to it for any U
  3. 所属分类:DSP program

    • 发布日期:2017-04-15
    • 文件大小:3147
    • 提供者:han
  1. 11112323

    1下载:
  2. 基于锁相环Top-down的建模方法在MATLAB环境下建立数字锁相环完整的仿真模型,并用SIMULINK对数字锁相环的仿真模型进行仿真。 -Top-down phase-locked loop based on the modeling method in MATLAB environment DPLL set up a complete simulation model, and use of digital phase-locked loop SIMULINK simulation mod
  3. 所属分类:matlab

    • 发布日期:2017-04-28
    • 文件大小:199078
    • 提供者:王利华
  1. dpll

    0下载:
  2. DPLL SIMULATION in MATLAB
  3. 所属分类:3G develop

    • 发布日期:2017-04-11
    • 文件大小:1130
    • 提供者:Bhavin
  1. DPLL

    0下载:
  2. Dpll source core ,it is very good for some one-Dpll source core,it is very good for some one
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:9365
    • 提供者:yexianyang
  1. DPLL

    0下载:
  2. DPLL的源代码,包含了一个costas环的仿真 ,供参考学习用。-Digital phase loop
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-13
    • 文件大小:2546
    • 提供者:zb
  1. dpll

    0下载:
  2. 该程序实现了用dpll对可满足问题的求解,-The program achieved the right to meet with dpll problem solving,
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-30
    • 文件大小:2453
    • 提供者:
  1. DPLL

    0下载:
  2. 基于VHDL语言的DPLL电路的设计,给出了设计方案和部分源代码 -DPLL
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:193347
    • 提供者:zhao peng
  1. dpll

    0下载:
  2. 本文介绍了锁相环路的基本原理,并着重分析了数字锁相环的结构、原理。利用Verilog语言对数字锁相环的主要模块进行了设计,并用Modelsim软件进行仿真。最后给出了整个系统的仿真结果,验证设计的正确性,并在现场可编程门阵列FPGA上予以实现-dpll
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-28
    • 文件大小:12217
    • 提供者:卢迎
  1. dpll

    0下载:
  2. 应用matlab设计D触发器型的锁相环的设计的程序并对相位很频率进行性能图形比较-matlab desire Dpll
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:1159
    • 提供者:赵红玉
  1. DPLL

    0下载:
  2. 数字锁相环(DPLL)的介绍与硬件实现设计-Introduction and hardware design of Digital PLL (DPLL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-16
    • 文件大小:1366016
    • 提供者:BenQlin
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com