CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - NCO

搜索资源列表

  1. CORDIC_mixer

    1下载:
  2. FPGA可实现的,使用cordic算法的NCO模块混频模块。该模块基于cordic原理,算法中只需要加法和移位运算既可以完成信号的混频功能-FPGA can be achieved, the use of the NCO cordic algorithm module mixing module. Cordic module based on the principle, the algorithm only needs Adder and shift operator can complete
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:853
    • 提供者:rossi
  1. NCO_ip

    0下载:
  2. NCO的VHDL程序,是利用IP核生成的,超好的,快下吧
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:128371
    • 提供者:张俊
  1. SDR

    1下载:
  2. 一个DQPSK信号接收与发送部分的软硬件设计。其中IF=70MHZ,信息速率=10MBS,采用带通信号采样技术,数字正交解调和调制技术。NCO频率分辨率为32位,相位分辨率为10位
  3. 所属分类:邮电通讯系统

    • 发布日期:2014-01-16
    • 文件大小:11465
    • 提供者:袁峰
  1. gold_generator

    0下载:
  2. gold 码发生器,经过MATLAB 仿真,对写NCO有很大的贡献
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1039
    • 提供者:ylt
  1. NCO_sin

    1下载:
  2. 基于FPGA的NCO设计,采用查表方法.八位地址线,一个周期采点256个,输出八位数据.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4132
    • 提供者:wei
  1. ACarrierTrackingAlgorithmBasedOnFPLL

    2下载:
  2. 介绍了一种基于锁频锁相环(FPLL)的载波跟踪算法。频率跟踪模块可以适应较大动态范围的频率变化,基于软件的数控振荡器(NCO)模块可以达到极高的频率跟踪精度。由于有锁频环的频率牵引,锁相环路滤波器可以设计得很窄,具有很好的抑噪性能,满足精确跟踪载波相位的要求。因此,该基于FPLL的载波跟踪算法可以适应信号存在较大的动态范围和噪声干扰的应用环境;同时,其鉴频鉴相算法表达式简单,易于用可编程数字器件实现。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:162627
    • 提供者:何宁
  1. DCO.rar

    0下载:
  2. 数控振荡器:可根据输入相差改变频率控制字,产生一组正交的正弦波,SIMULINK 模型,DCO/NCO
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-01
    • 文件大小:5872
    • 提供者:carol
  1. Crack_QII81_FULL_License

    0下载:
  2. quartus 8.1 ipcore lic,包含ddr、ddr2、fir、nco-quartus 8.1 ipcore lic, with ddr, ddr2, fir, nco
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:29537
    • 提供者:wcm
  1. LogicLock

    0下载:
  2. 实现数字混频,verilog与原理图混合编程-Digital mixer, verilog and mixed programming schematic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3613960
    • 提供者:张旭
  1. NCO

    1下载:
  2. NCO 及其源代码,通过这很难看懂,需要自己去争-NCO you know this
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2016-05-15
    • 文件大小:1764352
    • 提供者:tom
  1. NCO

    0下载:
  2. 用SIMULINK仿真的NCO,可以直接运行-Use SIMULINK NCO can be run directly
  3. 所属分类:Other systems

    • 发布日期:2017-12-01
    • 文件大小:13172
    • 提供者:卡布奇诺
  1. NCO

    1下载:
  2. 查表法实现NCO数控振荡器,16位频率控制字深度1024,包含ROM表-nco rom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-21
    • 文件大小:16384
    • 提供者:徐华
  1. NCO

    0下载:
  2. NCO的两种实现方式,包括LTU和CORDIC-two method to implement NCO
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-27
    • 文件大小:128394
    • 提供者:lyq
  1. nco

    0下载:
  2. numerically controlled oscillator code by matlab (NCO)
  3. 所属分类:Algorithm

    • 发布日期:2017-04-12
    • 文件大小:890
    • 提供者:seungjong lee
  1. SAP NCO

    2下载:
  2. SAP NCO 3.0 , .NET 调用SAP RFC 必备
  3. 所属分类:其它

  1. NCO

    0下载:
  2. 卫星导航接收机 数控振荡器NCO模块 verilog程序完整版-verilog program about satellite navigation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:357709
    • 提供者:liulaiwang
  1. nco

    0下载:
  2. 32位输入,12位输出的nco代码及tb。包含查找表-32bit input and 12bit output nco and its tb.including lut.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-04
    • 文件大小:201970
    • 提供者:尚文
  1. MA

    0下载:
  2. down converter using NCo phase filter and half band filter
  3. 所属分类:其他

    • 发布日期:2018-04-21
    • 文件大小:80896
    • 提供者:prema
  1. nco1mhz

    0下载:
  2. 使用FPGA元件中的NCO产生1MHZ频率\相位可调的输出(Use the NCO in the FPGA element to produce 1MHZ frequency \ phase adjustable output)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:2048
    • 提供者:SMALLMOON
  1. NCO VHDL IMPLEMENTATION

    1下载:
  2. its NCO vhdl implementation very useful and helpfull for beginners. it has vhdl files and testbench. wish you best of luck.
  3. 所属分类:VHDL编程

    • 发布日期:2018-12-29
    • 文件大小:5900
    • 提供者:nasirkhanpak25
« 1 2 34 5 6 »
搜珍网 www.dssz.com