CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - NCO

搜索资源列表

  1. gencontrol

    0下载:
  2. 高速任意波形产生器控制模块 控制NCO,FIFO,并串转换-hign-speed wfgenerator control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:545
    • 提供者:ted yang
  1. qpsksystem_SJSU_mdl

    0下载:
  2. QPSK modulation system with recover loops-This is a model of a QPSK modulation system for transmission over a bandpass channel with fc = 100 Hz and B = 30 Hz and AWGN at the receiver. SRRC fi lters with excess bandwidth α = 0.18 are employed. Th
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:15572
    • 提供者:ranbowang
  1. RealizationofdigitaldownconversionbyFPGA

    0下载:
  2. 介绍在FPGA 器件上如何实现单通道数字下变频(DDC)系统。利用编写VHDL 程序和调用部分IP 核相结合的方法研究了数字下变频的FPGA 实现方法,并且完成了其主要模块的仿真和调试,并进行初步系统级验证。-Introduced in the FPGA device on how to achieve the single-channel digital down conversion (DDC) system. VHDL procedures and the use of the prepa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162827
    • 提供者:于银
  1. ADI_dds_tutorial

    0下载:
  2. Nice material for NCO
  3. 所属分类:Communication

    • 发布日期:2017-05-02
    • 文件大小:811647
    • 提供者:jjbtons
  1. CarrierGen

    0下载:
  2. 用VC的程序的方法来模拟FPGA的NCO的功能-Program with VC methods to simulate the function of FPGA-NCO
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:949
    • 提供者:dgq
  1. chengxu

    0下载:
  2. 本程序可以在quartusII软件仿真下生成m序列和NCO数字振荡发生器,可以后续按个人要求实现通信加密,或传输信号。-This program can generate quartusII software simulation m sequence and NCO digital oscillation generator, you can follow-up according to their individual requirements for communication encry
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-10
    • 文件大小:1484
    • 提供者:刘非
  1. waveform_gen_latest.tar

    0下载:
  2. VHDL实现NCO与LUT(查找表) VHDL实现NCO与LUT(查找表)-VHDL realization of NCO and LUT (lookup table) VHDL Implementation NCO and LUT (lookup table)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:570202
    • 提供者:张颖
  1. cordic

    0下载:
  2. FPGA中数字信号发生器NCO用CORDIC实现产生正弦余弦-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1805
    • 提供者:lilun
  1. dac7513_DDS_7822

    0下载:
  2. 基于VHDL的NCO利用DAC7513产生sin或cos 的代码-DAC7513 VHDL NCO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5167113
    • 提供者:李才
  1. New-folder

    0下载:
  2. VHDL codes for booth , nco and some more
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1918
    • 提供者:Ashwin
  1. DDC.m

    0下载:
  2. 一個有關於數值控制震盪器(NCO)的檔案,用Matlab的Simulink開啟即可-.-a shaker on the numerical control (NCO) files, Matlab Simulink can be opened.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-03
    • 文件大小:1552
    • 提供者:hcc
  1. nco_dds_ep_1_003_1

    0下载:
  2. gives descr iption about nco and dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:713448
    • 提供者:challu
  1. Numberical-Controlled-Oscillator

    0下载:
  2. 数控振荡器的设计,实验中用到的所有完整的工程文件在test8文件夹下。完整的工程文件包含: accumulator_precision.mdl frequency_resolution.mdl generating_a_ramp.mdl lutdepth_cost_a.mdl lutdepth_cost_b.mdl lutdepth_cost_c.mdl sine_wave.mdl sine_wave_iir.mdl sine_wave_ii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:107054
    • 提供者:
  1. nco

    0下载:
  2. 基于FPGA的压控震荡器,可以通过震荡器来对输入信号进行有效的分频,而且是任意的分频系数都可以-FPGA-based VCO oscillator input signal, the effective frequency division and any sub-frequency coefficients can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3244957
    • 提供者:zhou
  1. DDS

    2下载:
  2. NCO 数字压控振荡器的MATLAB仿真程序 程序包含2中模拟NCO的方法 其中第二种方法使用CORDIC算法产生 基准频率信号-The NCO digital voltage-controlled oscillator, the MATLAB simulation program program contains 2 to simulate the NCO in which the second method uses the CORDIC algorithm to generat
  3. 所属分类:matlab

    • 发布日期:2014-11-04
    • 文件大小:2048
    • 提供者:zx
  1. DE2_NIOS_II_IRQ_BUTTON_2012

    0下载:
  2. 基于按键中断控制NCO核的输出频率,在quartus II中仿真通过-Based on the key interrupt control the output frequency of the NCO core, through simulation in quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16808425
    • 提供者:李刚
  1. nco-new

    0下载:
  2. numerically controlled oscillator has been designed using vhdl programming which produces an output when input given in binary bits format.
  3. 所属分类:Project Design

    • 发布日期:2017-12-08
    • 文件大小:31539
    • 提供者:thara
  1. CarrierNco

    1下载:
  2. ISE12.3环境下编写的载波NCO的产生程序,进行扩频通信或者卫星导航研究的同学可以参考-ISE12.3 environment prepared by the carrier NCO generator, spread spectrum communication or satellite navigation research students can refer
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-06
    • 文件大小:81920
    • 提供者:枫桥夜泊
  1. Code_NCO.zip

    0下载:
  2. 码数控振荡器相位累加器的位数N为32,利用verilog HDL语言在Quartus II 9.1中具体实现了载波和码NCO的设计。,The code numerically controlled oscillator phase accumulator bits N 32 verilog HDL language in the concrete realization of the design of the carrier and code NCO Quartus II 9.1.
  3. 所属分类:GPS develop

    • 发布日期:2017-11-09
    • 文件大小:881
    • 提供者:cc
  1. DDC_FPGA

    2下载:
  2. 基于FPGA的数字下变频器(DDC)的设计,将采样得到的高速率信号变成低速率基带信号,以便进行下一步的信号处理。由NCO、数字混频器、低通滤波器和抽取滤波器四个模块组成。采用自编的加法树乘法器,提高乘法运算效率。-Design based on FPGA digital downconverter (DDC), the high-speed signal will be sampled baseband signal into a low rate for the next step in th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:52476
    • 提供者:shengxx
« 1 2 3 45 6 »
搜珍网 www.dssz.com