CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - NCO

搜索资源列表

  1. NCO_Test

    0下载:
  2. 代码是对通信中的NCO模块的仿真,基于QUARTUSII9.0软件,代码编译成功,并且功能仿真已经实现-Code NCO module communication the simulation, based QUARTUSII9.0 software, code compiled successfully, and the functional simulation has been achieved
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:1518461
    • 提供者:二妮子
  1. Gps_c_code_nco

    0下载:
  2. 在GPS接收机本地NCO及CA码产生,生成超前码,即时码和滞后码。-generate NCO and ca coce in gps receiver,generate E_P_L code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:1516
    • 提供者:peng
  1. cordic1

    0下载:
  2. cordic硬件实现,主要是实现正余弦,也可以用作NCO混频中,实现解调功能-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:3810
    • 提供者:zhangyang
  1. NCO

    0下载:
  2. 指输出频率与输入控制电压有对应关系的振荡电路(VCO),频率是输入信号电压的函数的振荡器VCO,振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。-Refers to the output frequency and input voltage control oscillation (VCO) circuits, corresponding relationship with frequency is a function of the input signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:625
    • 提供者:HQ
  1. VHDL_Code

    0下载:
  2. 描写nco的完整程序,采用很简介的算法,对大家应该很有用-The complete program descr iption nco, using a very brief introduction of the algorithm, we should be very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:548370
    • 提供者:王宇
  1. FPGA_trainning2013A

    0下载:
  2. 在EDA实验课上面,自己编写的NCO程序,可以产生出比较真实的正弦波、三角波以及锯齿波,用VHDL程序编写,有modelsim仿真textbench程序-On EDA experiment, oneself write the NCO program, can produce more real sine wave, triangular wave and sawtooth wave with VHDL programming, have the modelsim simulation text
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:403650
    • 提供者:刘far
  1. ca_code

    0下载:
  2. nco的产生原理的相关代码;软件无线电、直接数据频 率合成器(DDS,Direct digital synthesizer)、快速傅立叶变换(FFT,Fast Fourier Transform) 等的重要组成部分,同时也是决定其性能的主要因素之一,用于产生可控的正弦波或余弦波。随着芯片集成度的提高、在信号 处理、数字通信领域、调制解调、变频调速、制导控制、电力电子等方面得到越来越广泛的应用-nco the generation principle of the relevant code s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:711
    • 提供者:李毅
  1. NCO_sine_gen

    0下载:
  2. 用Simulink中NCO模块产生正弦信号的程序,自己写的,采样率180MHz,正弦频率70MHz,可以直接运行。-Using Simulink module generates a sinusoidal signal of NCO program, sample rate 180MHz, sinusoidal frequency 70MHz, can be directly run.
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:31055
    • 提供者:王小二
  1. eetop.cn_FYP_V2

    0下载:
  2. 基于cordic算法的nco仿真完整matlab程序-nco cordic algorithm simulation
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:701
    • 提供者:zhang
  1. nco

    0下载:
  2. 利用数字振荡器产生一个正弦波。修改参数可以修正弦波频率幅度相位。-Using the digital oscillator generates a sine wave. Modified sine wave frequency parameters can be modified amplitude and phase.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3892448
    • 提供者:henry
  1. The-Phase-Locked-Demodulation-

    0下载:
  2. 利用Altera公司推出的FPGA开发工具DSP Builder,对锁相解调算法中的主要部件:数控振荡器(NCO)、计算反正切的CORDIC模块和FIR低通滤波器进行了单独设计和仿真,最终完成了锁相解调系统的整体设计。-Designed and simulated major components of phase-locking Demodulation Algorithm independently, including: Number Controlled Oscillator(NCO)、
  3. 所属分类:Project Design

    • 发布日期:2017-05-11
    • 文件大小:2209353
    • 提供者:张强
  1. dds

    0下载:
  2. NCO,同时产生cos和sin信号。quartus -NCO, while producing cos and sin signals. quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5490037
    • 提供者:赵睿铭
  1. Filtro-3-vias

    0下载:
  2. This a model of a QPSK modulation system for transmission over a bandpass channel with fc = 100 Hz and B = 30 Hz and AWGN at the receiver. SRRC fi lters with excess bandwidth α = 0.18 are employed. The receiver includes an NDA timing recovery lo
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:42164
    • 提供者:Romarco
  1. nco_program

    0下载:
  2. 数字控制器频点调整,包括频点上移动或者向下移动的程序-digital nco
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:915
    • 提供者:熊熊
  1. NCO_test

    0下载:
  2. FPGA的压控振荡器NCO完整Verilog工程代码,测试输出1KHZ sin波。signaltap抓取没问题。-VCO NCO complete FPGA Verilog code engineering, test output 1KHZ sin wave. signaltap crawl no problem.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9171564
    • 提供者:allcot
  1. NCO

    0下载:
  2. 基于FPGA的DDS设计,通过外接DA转换器输出稳定的正弦波,方波和三角波,可单独产生时钟,不必借助硬件连接,包含寄存器程序,累加器程序和时钟发生电路等,以及顶层设计原理图-The DDS FPGA-based design, through an external DA converter output stable sine wave, square wave and triangular wave, can produce a single clock, without the help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5834111
    • 提供者:孙雨晗
  1. my_nco-(1)

    0下载:
  2. A basic Direct Digital Synthesizer consists of a frequency reference (often a crystal or SAW oscillator), a numerically controlled oscillator (NCO) and a digital-to-analog converter (DAC) [3] as shown in Figure 1. The reference oscillator provid
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:7623
    • 提供者:Vladlen
  1. NCO_DDS

    0下载:
  2. 自己写的代码,用了NCO核组合的DDS,测试过,希望对大家有所帮助-Write your own code, using a combination of NCO nuclear DDS, tested, we hope to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5430092
    • 提供者:杨云飞
  1. dpll_fixpt

    0下载:
  2. a simulink model for DPLL with NCO
  3. 所属分类:matlab

    • 发布日期:2017-04-30
    • 文件大小:24140
    • 提供者:dotmcontrol
  1. codegen

    0下载:
  2. GPS接收中关于码的产生、通道的选择、码DCO-code generate、tracking channel、code nco
  3. 所属分类:GPS develop

    • 发布日期:2017-04-14
    • 文件大小:4475
    • 提供者:lichao
« 1 2 3 4 56 »
搜珍网 www.dssz.com