CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 多路选择器

搜索资源列表

  1. 100个vhdl设计例子

    0下载:
  2. 内附多路选择器,74系列芯片VHDL源码,加法器,FIR,比较器等大量例子,对初学VHDL语言很有好处。可用maxplus,quartus,synplicity等综合软件进行调试-contains multiple-choice, 74 chips VHDL source code, the adder, FIR, comparators, etc. are plenty of examples for beginners VHDL very good. Available maxplus, Q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:233299
    • 提供者:杰轩
  1. VHDL范例

    1下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. 三种多路选择器的源代码

    0下载:
  2. 三种方法编写多路选择器的VHDL源代码 分别使用if else ,select ,when 语句-three methods to prepare multiple choice of VHDL source code were used if else, select, when words
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1736
    • 提供者:蔡孟颖
  1. 基本语法:VHDL实例---条件赋值:使用多路选择器

    0下载:
  2. 基本语法:VHDL实例---条件赋值:使用多路选择器.rar-basic syntax : VHDL examples --- conditions assignment : use of multi-channel selector. Rar
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1957
    • 提供者:张洪
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. verlog_basic

    0下载:
  2. 用verlog语言编的一些基础实验,适合于FPGA/CPLD的初学者。内容包括8位优先编码器,乘法器,除法器,多路选择器,二进制转BCD码,加法器,减法器等等。-verlog used some language addendum to the basic experiment, which is suitable for FPGA / CPLD beginners. Including eight priority encoder, multipliers, dividers, multi-p
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:1004071
    • 提供者:leolili
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. mux8

    0下载:
  2. 一个二选一的多路选择器,两个输入信号分别是不同频率的音频信号,选择信号由按键来触发,输出的信号输入到扬声器中
  3. 所属分类:Audio

    • 发布日期:2008-10-13
    • 文件大小:105544
    • 提供者:叶开
  1. Verilog_example

    0下载:
  2. 本文件包括多路选择器器建模,译码器实验程序,加法器实验程序,比较器实验程序,计数器建模,I2C接口标准建模源码,串行接口RS232标准建模源码标准,LCM建模源码,时钟6分频源码,串并转化源码。 ,对于硬件设计初学者来说有一定的参考价值。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1065353
    • 提供者:朱秋玲
  1. 多路选择器

    0下载:
  2. 用maxplus2实现的简易多路选择器
  3. 所属分类:其它

    • 发布日期:2009-06-15
    • 文件大小:95200
    • 提供者:lixm1988
  1. mux4_1.数字系统设计的编程

    0下载:
  2. 数字系统设计的编程,实现四选一的多路选择器,用verilog实现。,The design of digital systems programming, to achieve the election of the four MUX, with the realization of verilog.
  3. 所属分类:Windows编程

    • 发布日期:2017-03-23
    • 文件大小:50676
    • 提供者:kahn
  1. pingpong 多路选择器实现

    1下载:
  2. 实现了乒乓操作,用多路选择器来实现,简单易懂。-Achieved a ping-pong operation, With the MUX to achieve, easy to understand
  3. 所属分类:Windows编程

    • 发布日期:2012-10-28
    • 文件大小:17742
    • 提供者:dengaizhi
  1. mux

    0下载:
  2. 多路选择器是一个多输入,单输出的组合逻辑电路,在算法电路的实现中常用来根据地址码来调度数据。-MUX is a multi-input, single-output combinational logic circuit, in the algorithm used in the realization of circuits to address code in accordance with scheduling data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:119732
    • 提供者:张应辉
  1. mux21a

    0下载:
  2. 2选1多路选择器的VHDL完整描述,即可以直接综合出实现相应功能的逻辑电路及其功能器件。图6-1是此描述对应的逻辑图或者器件图-2 election more than one MUX complete descr iption of the VHDL, which can be directly integrated to achieve the corresponding function logic devices and their functions. Figure 6-1 is th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:3020
    • 提供者:刘阳
  1. 4_1

    0下载:
  2. 4 选1 多路选择器-4 to 1 MUX ========
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:171667
    • 提供者:彭红
  1. 实验一多路选择器与CPU辅助模块设计

    7下载:
  2. 实验一多路选择器与CPU 模块设计 实验方法与答案(Solutions for computer experiment.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-11-20
    • 文件大小:34807808
    • 提供者:陈惜
  1. Verilog源代码

    0下载:
  2. 多种基本功能的Verilog代码实现,包括多路选择器,二进制到BCD码转换,二进制到格雷码转换,7段译码器,8位数据锁存器,移位寄存器等等多种功能。(Verilog code implementation of a variety of basic functions, including multiplexer, binary to BCD code conversion, binary to Gray code conversion, 7-segment decoder, 8-bit dat
  3. 所属分类:汇编语言

    • 发布日期:2020-07-31
    • 文件大小:18432
    • 提供者:MMK1
« 12 3 4 5 6 »
搜珍网 www.dssz.com