CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数码管扫描

搜索资源列表

  1. freq

    0下载:
  2. vhdl八位十进制数字频率计的设计,顶层和数码管扫描模块-vhdl eight decimal digital frequency meter design, top-level and digital tube scanning module
  3. 所属分类:software engineering

    • 发布日期:2017-11-25
    • 文件大小:2179
    • 提供者:zrf
  1. exp_4

    0下载:
  2. 数码管扫描,安123456的顺序进行循环扫描-Digital tube scanning, Ann 123456 order cycle scan
  3. 所属分类:Software Testing

    • 发布日期:2017-12-06
    • 文件大小:46476
    • 提供者:huzidiaoyu
  1. dynamic-digital-pipe-scanning

    0下载:
  2. 单片机的动态数码管扫描asm程序,简单实用,适合初学者-SCM dynamic digital pipe scanning asm program, simple and practical, suitable for beginners
  3. 所属分类:SCM

  1. main

    0下载:
  2. 用52单片机实现静态数码管扫描,调试可用-52 single-chip digital still the tube scan, debug available
  3. 所属分类:SCM

    • 发布日期:2017-11-08
    • 文件大小:1645
    • 提供者:doudong
  1. ex-5

    0下载:
  2. C51单片机多位数码管扫描与显示与Proteus仿真实例-The more than C51 microcontroller digital pipe scanning display with Proteus simulation example
  3. 所属分类:SCM

    • 发布日期:2017-11-09
    • 文件大小:26836
    • 提供者:张曲光
  1. The-VHDL-various-basic-code

    0下载:
  2. VHDL的各种基本代码 包括4选1,8选1多路选择器,8位全加器,加1减1计数器,序列检测器,异步清零16位加减可控计数器,数码管扫描程序,双2选1,状态机等基本程序!-VHDL basic code including 4 election 1,8 to 1 multiplexer selector, 8-bit full adder, plus 1 minus 1 counter sequence detector, asynchronous clear 16 plus or minus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3696478
    • 提供者:ai
  1. tube-scan

    0下载:
  2. 单片机程序设计,多位数码管扫描.对于初学者是非常好的学习资料-Microcontroller programming, a number of digital tube scan is very good for beginners learning materials
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-12-04
    • 文件大小:43261
    • 提供者:chat006
  1. digital

    0下载:
  2. STC单片机控制数码管扫描显示时间以及设置时间-STC SCM control digital scanning time and setting time
  3. 所属分类:SCM

    • 发布日期:2017-11-24
    • 文件大小:797
    • 提供者:云天齐
  1. shumaguandongtai

    0下载:
  2. 数码管动态显示程序,包括数码管扫描等基础代码,对51初学者很有帮助-failed to translate
  3. 所属分类:SCM

    • 发布日期:
    • 文件大小:17393
    • 提供者:lin
  1. DISPLAY_LED

    0下载:
  2. 动态数码管显示,LED数码管扫描显示。已经运行成功-Dynamic digital display, LED digital tube scan display. Has been running successfully
  3. 所属分类:assembly language

    • 发布日期:2017-11-26
    • 文件大小:579
    • 提供者:朱晓
  1. adder1

    0下载:
  2. 3位二进制运算及数码管扫描显示电路,其中采用原理图描述方式-3 binary arithmetic and digital display circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:433201
    • 提供者:方威
  1. BUZZ_INT

    0下载:
  2. 无源蜂鸣器驱动采用中断方式实现-好处就是蜂鸣器叫的时候不影响数码管扫描 使用芯片是S3F84U8-Passive buzzer driven by interruption means- advantage is called when the buzzer does not affect the use of digital scanning chip is S3F84U8
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:38651
    • 提供者:andezeng
  1. scan_led3

    0下载:
  2. 用VHDL语言写的数码管扫描电路。压缩包中还包括多路复用器、译码器和计数器。-VHDL language used to write the digital scanning circuit. Compressed package also includes multiplexers, decoders and counters.
  3. 所属分类:Project Design

    • 发布日期:2017-04-23
    • 文件大小:499386
    • 提供者:罗克
  1. Digital-display

    0下载:
  2. 单片机数码管扫描显示,c语言的数码管扫描显示-Digital display
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:10512
    • 提供者:zz
  1. SCHK

    0下载:
  2. 10位序列检测器,有序列产生,分频器,按键消抖,序列检测,数码管扫描等几个模块构成,设计天津工业大学课程设计-10 sequence detector with sequence generation, dividers, key debounce, sequence detection, digital scanning, and several other modules, curriculum design, Tianjin Polytechnic University
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17177551
    • 提供者:ai
  1. Proteus

    1下载:
  2. 利用51单片机 BCD译码芯片和两位LED构成一个数码管扫描显示系统 两个数码管同时循环显示0~9-The use of 51 single-chip, BCD decoder chip and two LED displays constitute a digital scanning system, two digital tube display while loop from 0 to 9.
  3. 所属分类:汇编语言

    • 发布日期:2017-06-29
    • 文件大小:6421
    • 提供者:赵小川
  1. shumaguan

    0下载:
  2. 数码管动态显示,数码管扫描函数,包括控制数码管的位选-Dynamic display of digital control, digital control scanning functions, including control of the election-bit digital tube
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:589
    • 提供者:egove
  1. w

    0下载:
  2. VHDL编写的一个简单的数码管扫描电路程序-VHDL prepared a simple digital scanning circuit program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:607213
    • 提供者:基哥
  1. disp

    0下载:
  2. 数码管扫描程序,只需送入32BIT的数据,该模块即可控制八位数码管得到相应的显示。-Digital scanner, simply fed 32BIT data, the module can control eight corresponding digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:824
    • 提供者:刘洋
  1. 21_dig

    0下载:
  2. 劢忞数码管扫描,算是定时器癿应用吧,单片机上绉常看得刡,我移植过来了.-digital dig,dynamic scanning,refresh data
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:1614
    • 提供者:wsh
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com