CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波

搜索资源列表

  1. 51DDS

    0下载:
  2. 用51单片机模拟dds产生正弦波,方波,三角波,幅度频率可控。-Dds generated using 51 MCU simulation sine, square, triangle wave, amplitude frequency control.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:26135
    • 提供者:王风存
  1. DDS_VERILOG

    0下载:
  2. verilog dds 在发生正弦波时,很好的参考代码-verilog dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3011
    • 提供者:王洋
  1. wavegenerator

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:498394
    • 提供者:李海明
  1. sin_vhdl

    0下载:
  2. 由可编程器件控制的信号发生器可输出正弦波、方波、锯齿波,其频率可调。能输出正 弦波、方波、锯齿波的组合波形,且组合波形的频率可调。还能输出占空比和频率可调的方 波。-Controlled by a programmable device signal generator can output sine wave, square wave, sawtooth wave, its frequency is adjustable. Be able to output sine wave, sq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:135434
    • 提供者:chen
  1. pwm

    1下载:
  2. 压缩文档包括运用单片机生成pwm信号,以及利用pwm来产生正弦波,调温和控制电机转动。-Archive including the use of microcontroller pwm signal generation, and to generate sine wave using pwm, thermostat and control the motor rotation.
  3. 所属分类:SCM

    • 发布日期:2017-05-28
    • 文件大小:11742392
    • 提供者:dada
  1. dds_vhdl

    0下载:
  2. dds的vhdl实现,主要包括正弦波、三角波和锯齿波的产生-dds achieve the VHDL, including sine, triangle wave, and the selection ramp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:785
    • 提供者:xxx
  1. sin_c_program

    0下载:
  2. 简易正弦波\三角波\方波发生器 使用keil C51,12MHZ晶振,AT89C2051 -Simple sine wave square wave triangle wave generator using keil C51, 12MHZ crystal, AT89C2051
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:15800
    • 提供者:xian
  1. boxingxinhaofashengqi

    0下载:
  2. 主要设计制作一个波形发生器,该波形发生器能产生正弦波、方波、三角波和由用户编辑的 特定形状波形 -Main design to produce a waveform generator, the waveform generator can produce sine, square, triangle wave and by the user to edit the specific shape of waveform
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:16761
    • 提供者:程文冠
  1. test_DAC0832

    0下载:
  2. 项目描述:产生正弦波,方波,三角波的能力.可存储多种波形,输出波形频率100Hz--200kHz,-Project Descr iption: have a sine wave, square wave, triangle wave capacity. Can store a variety of waveforms, the output waveform frequency 100Hz- 200kHz,
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:68519
    • 提供者:yagerya
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. 29782184

    0下载:
  2. 函数信号发生器 本系统能够产生正弦波、方波、三角波。同时还可以作为频率计测频率。函数信号的产生由MAX038和外围电路完成,能产生1Hz—20MHz的波形。波形选择由单片机完成。输出或输入频率经74HC390分频后,由单片机完成自动频率检测显示。 关键词:波形产生器、频率计、MAX038、74HC390、AT89S51。 -Function signal generator of the system can produce sine, square, triangular wave.
  3. 所属分类:assembly language

    • 发布日期:2017-04-28
    • 文件大小:95629
    • 提供者:张一
  1. SignalGenerate

    0下载:
  2. 本程序用C++,正弦波声音信息发生器VC源代码-This procedure using C++, sine wave generator VC voice message source code
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-17
    • 文件大小:40576
    • 提供者:hd9898
  1. daout-Sine-wave

    0下载:
  2. 正弦波的vhdl输出,使用VHDL编写的,已经通过调试-Sine wave output of the VHDL, the use of VHDL prepared already through debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:585901
    • 提供者:zhang
  1. DA

    0下载:
  2. TMS320LF2407键盘与DA转换综合控制源码 键盘0,1,2,3分别使得DA转换产生方波,三角波,正弦波,锯齿波。-TMS320LF2407 keyboard with integrated control DA converters 0,1,2,3-source keyboard makes DA conversion separately generated square wave, triangle wave, sine wave, sawtooth wave.
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:6222
    • 提供者:baoyu
  1. resizeofapp

    0下载:
  2. 用VC++做的画图软件,可以用来学习如何画图,有正弦波,三角波,方波例子-VC++ to do with the drawing software, can be used to learn how to draw, there is sine wave, triangle wave, square wave example
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-28
    • 文件大小:127664
    • 提供者:Joy
  1. example10

    0下载:
  2. :正弦波发生器例程,包括了直接数字频率合成(DDS)的原理以及如何应用CPLD产生频率可控频率的正弦信号。-: Sine wave generator routine, including a direct digital synthesizer (DDS), as well as the application of the principle of frequency control CPLD generated sinusoidal signal frequency.
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:57371
    • 提供者:周平
  1. experiment_7

    0下载:
  2. 基于ROM的正弦波发生器的设计:使用MATLAB得到这64个波形数据,将这些存数据写入一个ROM中。再输入时钟,每个上升沿依次读取一个波形数据-ROM-based sine wave generator of the design: the use of MATLAB to obtain waveform data 64, to write the data in a ROM. Re-enter the clock, each rising edge followed by a read wav
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:101687
    • 提供者:evelyn
  1. WJKS

    0下载:
  2. 该程序实现了微机控制的可以产生正弦波、三角波、方波,并可以使用键盘对其操作-The program can be controlled by a computer generated sine wave, triangle wave, square wave, and can use the keyboard to its operation
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1342
    • 提供者:gmaj
  1. DDS-baseddesignofthesinusoidalsignalgenerator

    0下载:
  2. 本设计采用AT89552单片机,辅以必要的模拟电路,实现了一个基于直接数字频率合成技术(DDS)的正弦谊号发生器。设计中采用DDS芯片AD9850产生频率1KHZ~10MHZ范围内正弦波,采用功放AD811控制输出电压幅度, 由单片机AT89S52控制调节步进频率1HZ。在此基础上,用模拟乘法器MC1496实现了正弦调制信号频率为1KHZ的模拟相度调制信号;用FPGA芯片产生二进制NRZ码,与AD9850结合实现相移键控PSK、幅移键控ASK、频移镇键FSK。-AT89552 the singl
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:209208
    • 提供者:何蓓
  1. wavegenetor

    0下载:
  2. 用LPM_ROM设计存放一个周期的256×8大小的rom,构建简易频率可控的正弦波发生器。 -LPM_ROM design store with a cycle of 256 × 8 size of rom, build a simple sine wave generator frequency controllable.
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:122966
    • 提供者:张炳良
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com