CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波

搜索资源列表

  1. 8255

    0下载:
  2. 这是汇编语言的硬件实验程序,用8255和0832在示波器上显示四个波形,方波,锯齿波,三角波,正弦波。-This is the assembly language of the hardware experimental procedure, using 8255 and 0832 in the oscilloscope display four waveforms, square wave, sawtooth wave, triangle wave, sine wave.
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:1926
    • 提供者:wujingyun
  1. DDS

    0下载:
  2. 基于quartus的DDS,可以发生正弦波,方波,三角波,附带了顶层文件,注释在程序中-Quartus on the DDS, can occur sine wave, square wave, triangle wave, with the top-level documents, notes in the procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:77667
    • 提供者:ivan
  1. xinhaofashengqi

    0下载:
  2. 利用VHDL语言实现的多种波形信号包括方波、正弦波、脉冲信号的波形发生器-xinhaofashengqi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:4035276
    • 提供者:前沿部
  1. microds

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -can creat sine wave,saw wave,trangle wave
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:55531
    • 提供者:苏诗卧龙
  1. FINALWORK

    0下载:
  2. 简易信号发生器 可产生正弦波、方波、三角波、锯齿波 周期可调 verilog-Simple signal generator can produce sine, square, triangle wave, sawtooth-cycle adjustable verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:577
    • 提供者:tank tan
  1. wavetest

    0下载:
  2. 用qt做的波形显示,只是一个示例,显示的是一个正弦波,有刻度表示,如果有人需要,可以增加功能-Qt to do with the waveform display, but one example, shows a sine wave, with scale indicated that, if someone needs, can increase the function
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-10
    • 文件大小:1147110
    • 提供者:yhc
  1. DrawTest

    0下载:
  2. 有网格,坐标轴,正弦波,余弦波,三角波。不过三角波没画好,闪烁。MFC做的。-A grid, axes, sine, cosine, triangle. But do not draw a good triangle, flashing. MFC to do.
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-27
    • 文件大小:149962
    • 提供者:王宝
  1. 16

    0下载:
  2. dds应用主要产生正弦波形,可以自己稍加修改即可-dds applications arising primarily from the sinusoidal waveform, can be slightly modified
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:4632
    • 提供者:冯刚
  1. 1-1st-Chapter3

    0下载:
  2. 正弦信号发生器,正弦波输出范围:1k~10M。频率步进100Hz,用示波器观察无明显失真。-Sinusoidal signal generator, sine wave output range: 1k ~ 10M. Stepped-Frequency 100Hz, with no significant distortion oscilloscope observation.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:28219
    • 提供者:张堃
  1. signal_generator

    0下载:
  2. 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:tony
  1. wave

    0下载:
  2. 一款模拟正弦波,方波等函数的波形发生器。设置好起始频率、结束频率以及周期即可开始演示波形曲线。你可以调整采样、缓冲,增益调节,振荡器等参数进行修正。这个代码附带的几个控件不错,有点像工控组件,如旋钮,开关,LED显示-A simulated sine wave, square wave function, such as the waveform generator. Set up the starting frequency, ending frequency, and waveform pr
  3. 所属分类:Wavelet

    • 发布日期:2017-03-29
    • 文件大小:322079
    • 提供者:wang
  1. 1KHz

    0下载:
  2. 使用单片机通过 PWM方式实现1KHZ正弦波输出-Through the use of single-chip PWM sine wave output means 1KHZ
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:801
    • 提供者:zyf9m
  1. filter

    0下载:
  2. 数控振荡器用于产生可控的正弦波或余弦波。其实现的方法是查表法-CNC controlled oscillator used to generate the sine or cosine wave. Approach is the realization of look-up table method
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-23
    • 文件大小:135331
    • 提供者:司令
  1. LAB6_1

    0下载:
  2. 51单片机上的信号发生器,产生三角波,方波,正弦波等各种波形的汇编代码。-51 single-chip signal generator to produce the triangular wave, square wave, sine wave such as the assembly code.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:535
    • 提供者:siubr
  1. vec_table

    0下载:
  2. 使用查表法实现正弦波的产生,用于CCS仿真,汇编-Sine look-up table method used to achieve the production of CCS for simulation, compilation
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:3697
    • 提供者:袁昊
  1. xiaobofenjie

    0下载:
  2. 有关小波分解和小波重构方面的。用两个正弦波做例子验证的。-I think it can be use the signal processing.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:910
    • 提供者:王兵
  1. shuzipinlvji

    0下载:
  2. 本数字频率计将采用定时、计数的方法测量频率,采用一个1602A LCD显示器动态显示6位数。测量范围从1Hz—10kHz的正弦波、方波、三角波,时基宽度为1us,10us,100us,1ms。用单片机实现自动测量功能。-This digital frequency meter will use fixed time, the counting method survey frequency, uses 1602The LCD monitor dynamic to demonstrate 6 fi
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:1644
    • 提供者:邱晓波
  1. periodgram

    0下载:
  2. 经典谱估计-周期图法 实现正弦波加正态白噪声信号的谱估计-Classical spectrum estimation- the realization of periodogram normal sine wave plus white noise signal spectrum estimation
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1254
    • 提供者:王健
  1. wave_generator

    0下载:
  2. 基于cycloneII的信号发生器,产生正弦波、方波、三角波,人机界面十分友好,可方便地进行波形切换-CycloneII based on the signal generator to produce sine wave, square wave, triangle wave, a very friendly man-machine interface can be easily switched waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1429278
    • 提供者:henry
  1. dds

    0下载:
  2. fpga利用dds原理,产生正弦波,简单实用,成本低-fpga using dds principle, have a sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:571294
    • 提供者:wangjian
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com