CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波

搜索资源列表

  1. VHDL

    0下载:
  2. DDS产生正弦波(VHDL语言)用DDS产生3MHZ的正弦波,VHDL控制语言-DDS have a sine wave (VHDL language) 3MHZ generated by the DDS sine wave, VHDL control language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:640
    • 提供者:chenyubin
  1. 1

    0下载:
  2. 正弦波信号发生器报告(含工作内容,步骤和图形)-Sine wave signal generator reports (including work, steps and graphics)
  3. 所属分类:software engineering

    • 发布日期:2017-04-23
    • 文件大小:36771
    • 提供者:姚文昊
  1. DrawWave_SaveAsBmp

    0下载:
  2. MFC双缓冲绘图,正弦波移动,适合工控数据采集.-MFC double buffering graphics, sine-wave movement, suitable for industrial data acquisition.
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-27
    • 文件大小:32420
    • 提供者:刘元
  1. at89c52t0

    0下载:
  2. 使用单片机,正弦波发生器,key0口按键减小输出频率,key1口按键增加输出频率 sinout口输出正弦波,(cosout口输出余弦波),使用定时器T0,16位定时模式。 R6、R7用作10毫秒延时寄存器。Fout输出方波。且输出的正弦波在0.01-83Hz范围内,方波在1.3Hz-10.6kHz范围内。-The use of single-chip, sine wave generator, key0 I reduce the output frequency keys, key1
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:1864
    • 提供者:ff
  1. TKC7524jiekoudianluchengxu

    0下载:
  2. 根据TLC7524输出控制时序,利用接口电路图,通过改变输出数据,设计一个正弦波发生器。TLC7524是8位的D/A转换器,转换周期为 ,所以锯齿波型数据有256个点构成,每个点的数据长度为8位。.FPGA的系统时钟为 ,通过对其进行5分频处理,得到频率为 的正弦波-TLC7524 output under the control of timing, the use of interface circuit, by changing the output data, the design o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:972
    • 提供者:离火
  1. Virtual_Oscilloscope

    0下载:
  2. 采用MFC实现的虚拟示波器界面,类似于常见的数字示波器显示面板; 可显示方波、正弦波及三角波三种波形不同参数的信号波形 对于方波可设置方波周期、占空比、幅度等参数; 对于正弦波可设置频率、幅度、相位参数; 对于三角波可设置周期、幅度参数; 三种波形可以同时存在,且所有波形均会随时间动态移动-MFC using the achievement of the virtual oscilloscope interface, similar to common digital osc
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:53914
    • 提供者:linlookfor
  1. xinhao001

    0下载:
  2. 产生正弦波、方波、锯齿波,频率可调,方波占空比可调-Generated sine wave, square wave, sawtooth wave, frequency adjustable, adjustable duty cycle square wave
  3. 所属分类:SCM

    • 发布日期:2017-05-11
    • 文件大小:2963505
    • 提供者:wangyang
  1. boxingfashengqi

    1下载:
  2. 这是我用VC++编写的一个基于PC机的任意函数信号发生器的上位机程序,并附上了下位机程序。实践表明能产生幅度和频率可调的正弦波,方波,三角波等。-This is what I use VC++ to prepare a PC-based signal generator, arbitrary function of the host computer program, together with procedures for the next crew. Practice shows that
  3. 所属分类:GUI Develop

    • 发布日期:2014-09-20
    • 文件大小:4125837
    • 提供者:libin
  1. 9833

    1下载:
  2. 基于51系列单片机以及AD9833DDS数字芯片的正弦波、三角波、方波、锯齿波发生装置,频率0到10M任意可调。-A wave generator including sin, rec, triangle... Base on 51 MCU & AD9833 DDS chip.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:46219
    • 提供者:邓天坚
  1. vhdl_dds

    0下载:
  2. 利用VHDL语言实现的简易DDS,便于调节正弦波的频率及相位-VHDL language using a simple DDS, easy to adjust the frequency and phase sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:334578
    • 提供者:dzt
  1. dds

    0下载:
  2. 基于fpga的函数发生器设计通过fpga实现正弦波输出-基于fpga的函数发生器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:62147
    • 提供者:江孝栋
  1. 12864wave

    0下载:
  2. 用C51驱动CM12864,在12864上显示正弦波-C51 driven by CM12864, sine wave shown in 12864. .
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:19607
    • 提供者:tanjiang
  1. DAC0832

    0下载:
  2. 设计一个函数信号发生器,具体指标如下: 1 采用AT89S51及DAC0832设计函数信号发生器; 2 输出函数信号为正弦波或三角波或阶梯波; 3 输出信号频率为100Hz,幅度0-10V可调; 4 必须具有信号输出及外接电源、公共地线接口 -Design a function signal generator, the specific indicators as follows: 1 using AT89S51 and design function signal ge
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:593071
    • 提供者:郭晨
  1. da0832sin

    0下载:
  2. 用单片机与DAC0832组成实现正弦波的产生-DAC0832 component used to achieve single-chip and the resulting sinusoidal
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:37484
    • 提供者:feng
  1. sin

    0下载:
  2. 在quartusII里实现的正弦波发生器,是一个比较简单的实现方法适合初学quartusII 高手勿下-QuartusII achieved in the sine wave generator is a relatively simple method not suitable for novice players under quartusII
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:501967
    • 提供者:留心
  1. dds

    0下载:
  2. VHDL编的CPLD正弦波产生程序用直接数值合成DDS原理驱动dac0832实现正弦波输-VHDL compiled CPLD sine wave generation process by direct numerical synthesis of theory-driven dac0832 achieved DDS sine wave input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1887
    • 提供者:袁文鼎
  1. dds_vhdl

    0下载:
  2. fpga VHDL语言,控制DDS产生频率可变的正弦波信号扫频-FPGA VHDL DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2759851
    • 提供者:gaoshang
  1. da0832zhuanhuanzhengxuanbo

    0下载:
  2. 主要讲了单片机是使0832产生正弦波功能,十分好。-Major topics of the 0832 microcontroller is to generate sine wave function very well.
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:37483
    • 提供者:静水
  1. sine_wave_generator_using_FPGA_implementation

    0下载:
  2. 该资料介绍了用FPGA实现正弦波发生器,原理是利用内置rom表,通过查询的方式实现输出,然后经过外部DAC输出,频率达到1MHz-The information on the sine wave generator using FPGA implementation, the principle is the use of built-in rom form, by querying the means to achieve the output, and then an external DA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2190272
    • 提供者:陈振林
  1. x

    0下载:
  2. avr mega16单片机写的函数发生器,利用定时器中断发生正弦波、三角波、锯齿波-avr mega16 MCU to write a function generator, using the timer interrupt occurs sine wave, triangle wave, sawtooth wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:589
    • 提供者:张伟伟
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com