CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位

搜索资源列表

  1. shifter

    0下载:
  2. 用vhdl实现双向移位寄存器 仿真环境MAXPLUS-II,QUARTUS--bidirectional use VHDL simulation environment shift register Segments-II, QUARTUS-
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:150033
    • 提供者:dm
  1. T3_1

    0下载:
  2. 一个4比特移位寄存器,活跃在不断上升的边缘的时钟。登记应能转移左、右移,接受连续剧和平行(负荷)输入,而有一个异步预设(“1111”)和清晰的(“0000”)的能力。-a 4-bit shift register which is active on the rising edge of the clock. The register should be able to shift left, shift right, accept a serial and parallel (load) i
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:26892
    • 提供者:sunzhongyuan
  1. VHDL100

    0下载:
  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:307161
    • 提供者:lsp
  1. 74hc164

    0下载:
  2. 74HCT164 是 8 位边沿触发式移位寄存器,串行输入数据,然后并行输出。数据通过两个输入端(DSA 或 DSB)之一串行输入;任一输入端可以用作高电平使能端,控制另一输入端的数据输入。两个输入端或者连接在一起,或者把不用的输入端接高电平,一定不要悬空。-74HCT164 is an 8-bit edge triggered shift register, serial input data, and then output in parallel. Data input through t
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:21060
    • 提供者:裘怿况
  1. shifter

    0下载:
  2. vhdl,双向移位寄存器,实现置数,左移及右移操作-vhdl, bi-directional shift register to achieve set the number of left and right shift operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:33041
    • 提供者:王晓虎
  1. Text1

    0下载:
  2. 矩阵键盘识别并移位显示 To identify and shift the keyboard matrix display-To identify and shift the keyboard matrix display
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:710
    • 提供者:何柳
  1. div

    0下载:
  2. 二进制除法器,采用移位相减的方法实现,位数可调-The source code of a divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1050
    • 提供者:shengzc
  1. COUNTER32B

    0下载:
  2. 32位移位寄存器,实现具体右移功能的32为寄存器,结构简单,通过时序验证-32-bit shift register 32 functions to achieve specific right to register, simple structure, through the timing verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:250149
    • 提供者:寒星
  1. shifter

    0下载:
  2. 有算术移位和逻辑移位,循环移位功能的移位寄存器,Verilog语言编写,Quratus II编译通过。-With arithmetic shift and logical shift, rotate functions shift register, Verilog language, Quratus II compile.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:299132
    • 提供者:姜涛
  1. 移位寄存器

    1下载:
  2. 基于labview的移位寄存器的框图和实现(Implementation of shift register)
  3. 所属分类:LabView编程

    • 发布日期:2017-12-13
    • 文件大小:4953
    • 提供者:Redtrees
  1. EightLEDSame

    0下载:
  2. 实现数码管数据移位显示,例如第一次显示0123456,第二次为1234560(Digital tube data shift display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:207872
    • 提供者:稷下
  1. positionTrans

    0下载:
  2. 移位密码算法的加密函数,在密码学中是一种比较基本的加密算法,我们通过这个程序来看一下(The encryption of the position_trans solution)
  3. 所属分类:加密解密

    • 发布日期:2018-01-03
    • 文件大小:2417664
    • 提供者:haplaw
  1. shiftreg44

    0下载:
  2. 一个用来构成缓存原件的基础 计数器和移位寄存器(Base counter and shift register for a cache primitive)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:5120
    • 提供者:aasdd
  1. LSFR

    0下载:
  2. 用Dev C++实现一个简易的线性移位寄存器,LSFR流密码,对信息进行加密。(Dev C++ is used to implement a simple linear shift register, LSFR stream cipher, to encrypt information.)
  3. 所属分类:加密解密

    • 发布日期:2018-01-09
    • 文件大小:471040
    • 提供者:jttqhh1
  1. utlsound

    0下载:
  2. 用于超声数据信号分析,将所得波形取正数后,比较移位信息(For ultsound information)
  3. 所属分类:其他

    • 发布日期:2018-04-20
    • 文件大小:14336
    • 提供者:ttxhhz
  1. shiftreg

    0下载:
  2. 介绍移位寄存器的VHDL语言建模,适合初学者(Introduce the modeling of shift register)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:7907328
    • 提供者:mabang123
  1. Desktop

    0下载:
  2. 简单实现线性反馈移位寄存器并进行验证,为初学者提供一个参考(Simple implementation of linear feedback shift register and verification.)
  3. 所属分类:C#编程

    • 发布日期:2018-05-02
    • 文件大小:110592
    • 提供者:qinuo
  1. myTurbo_test

    0下载:
  2. Turbo编码的FPGA实现,采用了(7,5)RSC编码和循环移位交织,帧长度128bit(The FPGA implementation of Turbo coding adopts (7, 5) RSC coding and cyclic shift interleaving, and the frame length is 128bit.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:1808384
    • 提供者:louisqw
  1. 矩阵按键数码管移位显示

    0下载:
  2. 按矩阵键盘分别显示在数码管上面显示十六进制的0到F(According to the matrix keyboard, it shows sixteen to 0 to F on the digital tube respectively.)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-03
    • 文件大小:37888
    • 提供者:顺平侯
  1. 移位寄存器

    1下载:
  2. 可以实现移位寄存功能,输入四位数字,然后依次移位显示在数码管上。
  3. 所属分类:VHDL编程

« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com