CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 跑表

搜索资源列表

  1. multifunction_digital_clock.ra

    1下载:
  2. 多功能电子钟,实现定时闹钟,数字跑表,设定日期和时间等功能,基于fpga的设计,abcdefjgajgasg
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-08
    • 文件大小:1928685
    • 提供者:许金龙
  1. paobiao.rar

    0下载:
  2. verilog实现的数字跑表 精确到10ms,verilog digital stopwatch to achieve accurate to 10ms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1975
    • 提供者:李丹
  1. CLOCK

    1下载:
  2. 文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。-Through the ALTERA company quartus II software, using Verilog HDL language to complete the design of multi-function digital
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:182531
    • 提供者:张保平
  1. paobiao

    0下载:
  2. 给出了数字跑表的源代码,设计了分频模块,实现了真实的时间计数,通过这个工程的训练,能更好的了解Quartus II数字电路开发的过程。-Digital stopwatch given the source code, design the sub-frequency module, the realization of the true count of time, through this project the training, to better understand the Quart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:237526
    • 提供者:张应辉
  1. paobiao2

    0下载:
  2. 000-999跑表 STC89C52单片机 + 数码管显示-000-999 stopwatch STC89C52 Singlechip+ Digital tube display
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1056
    • 提供者:辛坤
  1. watch

    0下载:
  2. 2个按键的跑表,一个是开始停止,一个复位-Two of the stopwatch button, one is stopped, a reset
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:68389
    • 提供者:张锦鹏
  1. stopwatch

    0下载:
  2. Proteus + KeilC 开发的跑表程序。简单、完整,适合初学者参考。-Proteus+ KeilC development stopwatch procedure. Easy, complete, suitable for beginners reference.
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:46141
    • 提供者:test0731
  1. 8832135

    0下载:
  2. 一个具有“百分秒,秒,分”计时功能的数字跑表,可以实现一个小时以内的精确至百分之一秒的计时。 数字跑表的显示读者可以通过编写数码管显示程序来实现,本训练只给出数字跑表的实现过程。 读者还可以通过增加小时的计时功能,实现完整的跑表功能。-A " percentage of seconds, seconds, minutes," digital stopwatch timer can be achieved within an hour of precision to th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:43920
    • 提供者:王磊
  1. paobiao

    0下载:
  2. 一个基于FPGA的数字跑表系统的设计,最小单位是百分表位。采用十进制进位。-FPGA-based digital stopwatch system design, the smallest unit is a digital dial indicator. Binary using the metric system.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:43860
    • 提供者:jyb
  1. shuzizhong

    0下载:
  2. 设计要求:(1)利用单片机组成数字钟与电子跑表 (2)利用4位LED显示器显示数字钟的时、分,秒用时分间的小数点闪烁指示;跑表秒为单位,格式***.* (3)数字钟与跑表通过一个“钟/表”键乒乓切换 (4)通过“时”键与“分”键分别校正时和分,每按一次对应加1,跑表状态下这两个键无效。 (5)跑表状态下,按“开始”键计时,“停止”键停止,数字钟状态下这两键无效。 -数字钟设计部分及流程图
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:67415
    • 提供者:
  1. paobiao

    0下载:
  2. 1)利用51单片机作为主控制器组成一个电子跑表,采用4位LED显示器。 2)上电或RESET后显示000.0。 3)当按下START键时,作为跑表使用,显示范围是:000.0-999.9秒,当按下STOP键时,跑表停止运行,并保留所停位置的时间显示不变。-1) the use of 51 single-chip microcomputer as the master controller of an electronic stopwatch, the four LED displays.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:806
    • 提供者:张宇
  1. new49

    0下载:
  2. 使用FPGA开发的电子时钟及跑表,有复位,开始/暂停功能-FPGA development using an electronic clock and stopwatch, a reset, the start/pause function
  3. 所属分类:Other systems

    • 发布日期:2017-05-22
    • 文件大小:6669196
    • 提供者:王实
  1. time

    0下载:
  2. 电子钟实现 包含数字跑表 万年历 设置三个闹钟 时间,日期调整-Clock to achieve with digital stopwatch calendar set three alarm time, date, adjust
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2436
    • 提供者:楚辰
  1. paobiao

    0下载:
  2. 数字跑表,VHDL语言描述,已经过实验,包含有分频计、计数器,显示译码器-It has been tested,and it is described by VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:861907
    • 提供者:高建双
  1. STOPWATCH

    0下载:
  2. 是基于FPGA/CPLD的跑表程序,可以存储记录多个运动员的跑步时间,是利用VHDL语言编写的,可以有助于学习EDA技术,可以参考学习,可以帮助你完成VHDL语言的课程设计。-Is based on FPGA/CPLD s stopwatch program, many athletes can store records of running time, is the use of VHDL language, and can help to learn EDA, can refer to t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:661825
    • 提供者:王亮
  1. timer_led

    2下载:
  2. 使用中颖单片机定时器3实现数码管跑表程序(Use 3 timer chip to achieve digital stopwatch program)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-19
    • 文件大小:45056
    • 提供者:yangjie1111
  1. 111

    0下载:
  2. 数字式跑表,基本逻辑器件组成的数字式跑表(The dig whitch.lajilaji)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-20
    • 文件大小:355328
    • 提供者:扫雷
  1. 程序

    0下载:
  2. 基于51单片机的c程序,具有时钟,跑表功能,按键手动切换形态(51 MCU c procedures based on the clock, stopwatch function, manual switch button form)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-09
    • 文件大小:11264
    • 提供者:djpi
  1. ise

    0下载:
  2. 在ise软件上,用VHDL语言,设计的数字跑表,可以两位计数,含分频器,计数器(In the ISE software, using VHDL language digital stopwatch design, can two counts, including frequency divider, counter)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:762880
    • 提供者:uestczzz
  1. 数字跑表VHDL

    0下载:
  2. 基于VHDL 实现1小时的数字跑表,包含计数器、数据存储等部分(VHDL realization of digital stopwatch based on 1 hours, including counter, data storage etc.)
  3. 所属分类:中间件编程

    • 发布日期:2018-05-01
    • 文件大小:15360
    • 提供者:zaylee
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com