CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 Windows编程 界面编程

资源列表

« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 8028 »
  1. 2001

    3下载:
  2. 2001年美国PJM电力市场全年负荷数据,对负荷预测研究很有用
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:39579
    • 提供者:peng
  1. matlab_Runge-Kutta-Fehlberg

    3下载:
  2. matlabMATLAB使用龙格-库塔-芬尔格(Runge-Kutta-Fehlberg)方法来解ODE问题。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:200629
    • 提供者:Zeal
  1. sar

    3下载:
  2. 西电保铮教授的雷达成像原理讲义ppt格式。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1547553
    • 提供者:keyoung
  1. 2psk2dpsk

    3下载:
  2. 2psk2dpsk基于SystemView的2psk与2dpsk的软件仿真程序
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1404
    • 提供者:mlzh
  1. Space-timecodesandMIMOsystems

    3下载:
  2. 很经典的一部教程书《Space-time codes and MIMO systems》\\里面有每一章节的源码!空是编码:BLAST,STBC,STTC三种的仿真与比较,非常不错!全部九章代码,非常经典!一起打包上传,给有用的同学!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:273885
    • 提供者:zll
  1. CFAR

    3下载:
  2. 恒虚警监测的代码,可以在雷达的仿真中起到去噪作用,原理和结果都很清楚
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1053576
    • 提供者:程凡永
  1. StdAfx

    3下载:
  2. StdAfx.h vc++重要的头文件的 要放到vc98/include文件夹里面
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:922
    • 提供者:张福平
  1. hj

    3下载:
  2. 图的算法实现 (1)将图的信息建立文件; (2)从文件读入图的信息,建立邻接矩阵和邻接表; (3)实现Prim、Kruskal、Dijkstra和拓扑排序算法。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:105689
    • 提供者:陈阳
  1. pbdold

    3下载:
  2. pbd还原程序源码 pbd还原程序源码
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:236074
    • 提供者:weiyuan
  1. CWT_Wavelab

    3下载:
  2. 由WAVELAB提供的计算连续小波变换,包括求小波脊的MATLAB程序工具箱.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:28510
    • 提供者:宋知用
  1. fuzzyPID2

    3下载:
  2. 匀速升温控制是个复杂的过程,具有大惯性、纯滞后、非线性等特点,难以得到精确的数学模型。考虑到这些特点,为提高控制精度,将Fuzzy-PID算法应用于电阻炉温度控制系统,当误差较大时采用模糊控制,误差较小时采用模糊PID控制,实现了2种控制方法的优势互补,在此基础上,给出了Fuzzy-PID控制器设计、硬件结构和软件设计,实验曲线表明该控制算法可以获得满意的控制效果,采用模糊PID控制的效果明显优于常规PID控制。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:232938
    • 提供者:lucy212
  1. ISO14443-chinese

    3下载:
  2. 这是国际电子标签协议ISO/IEC 14443四份子协议的完整中文版!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:897580
    • 提供者:Linke
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 8028 »
搜珍网 www.dssz.com