CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 其它 汇编语言

资源列表

« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 2553 »
  1. fenbushixietong

    0下载:
  2. 一种分布式协同优化的认知无线电频谱检测技术研究源程序-A study of the cognitive radio spectrum detection technology of distributed collaborative optimization source program
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:528
    • 提供者:yuexinzhi2012
  1. rotor

    0下载:
  2. 求转子临界转速的方法源代码,matlab编写,可以作为参考-rotor speed
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:528
    • 提供者:hongtao
  1. sekuai.asm

    0下载:
  2. 用汇编语言编写,用tasm运行,显示四个色块,每个色块的颜色各不一样-Prepared to use assembly language, used to run tasm shows four color, each color a different color each
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:529
    • 提供者:wujingyun
  1. song

    0下载:
  2. 汇编语言编写的80C51歌曲播放程序,接在仿真器上,可以播放不同的歌曲-80C51 assembly language song player, connected to the emulator, you can play different songs
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:529
    • 提供者:yanyan
  1. img

    0下载:
  2. java programme how to solve it and describe
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:529
    • 提供者:susa chhetri
  1. 2

    0下载:
  2. 基于C语言环境中的RSA算法中的加解密部分代码。-Based on the C language environment RSA encryption and decryption algorithms part of the code.
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:529
    • 提供者:yang
  1. ASM5-2-1-2

    0下载:
  2. ExampleB.ASM: 自己定義變量RAM單元位置 HT48R50A-1-Automatically scheduled by the system variables RAM cell position
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:529
    • 提供者:文豪
  1. Newton_iterate

    0下载:
  2. 此算法应用于牛顿迭代算法,原理简单,适合初学者学习。-This algorithm is applied to Newton iterative algorithm is simple in principle, suitable for beginners to learn.
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:529
    • 提供者:肖先生
  1. timer

    0下载:
  2. 汇编程序编写时钟程序,通过设置定时器,中断。-timer user
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:529
    • 提供者:王涵
  1. AT_EB870

    0下载:
  2. This are some AT command for EB870-A module sending from C8051F340 MCU.
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:530
    • 提供者:Sergey
  1. 3c

    0下载:
  2. Finding the roots of a cubic equation
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:530
    • 提供者:Namekek
  1. BINARY-FSK-DEMODULATION

    0下载:
  2. binary to fsk modulation
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:531
    • 提供者:dhas
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 2553 »
搜珍网 www.dssz.com