CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序

文件名称:verilog

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    16.9kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

verilog code for a microwave controller with clock output, clock time setting input, power control input+output, cooking timer setup, door open light, cooking complete buzzer output.





Four push buttons provide following active low input signals:

1)  KEY0 …………func_n

2)  KEY1………….ten_sec_setup_n

3)  KEY2………….one_min_setup_n

4)  KEY3………….ten_min_setup_n



Two high/low switches provide following input signals:

1)  SW0……………reset_n

2)  SW1……………open_door



Three output signals to LEDs provide following functionality

1)  LEDG0…………to_buzzer

2)  LEDG1…………cook_enable

3)  LEDG2…………to_lamp



There are also four seven-bit signals going to 7-segemnt display

1)  HEX0…………..to_sseg0

2)  HEX1…………..to_sseg1

3)  HEX2…………..to_sseg2

4)  HEX3…………..to_sseg3-verilog code for a microwave controller with clock output, clock time setting input, power control input+output, cooking timer setup, door open light, cooking complete buzzer output.





Four push buttons provide following active low input signals:

1)  KEY0 …………func_n

2)  KEY1………….ten_sec_setup_n

3)  KEY2………….one_min_setup_n

4)  KEY3………….ten_min_setup_n



Two high/low switches provide following input signals:

1)  SW0……………reset_n

2)  SW1……………open_door



Three output signals to LEDs provide following functionality

1)  LEDG0…………to_buzzer

2)  LEDG1…………cook_enable

3)  LEDG2…………to_lamp



There are also four seven-bit signals going to 7-segemnt display

1)  HEX0…………..to_sseg0

2)  HEX1…………..to_sseg1

3)  HEX2…………..to_sseg2

4)  HEX3…………..to_sseg3




(系统自动生成,下载前可以参看下载内容)

下载文件列表

verilog/
verilog/beeper.v
verilog/clock_divider.v
verilog/debounce_pulser.v
verilog/decoder.v
verilog/defines.inc
verilog/digital_clock.v
verilog/digital_timer.v
verilog/down_counter.v
verilog/dual_decimal_counter.v
verilog/dual_decimal_down_counter.v
verilog/func_select.v
verilog/microwave.v
verilog/parameters.inc
verilog/pwr_sel.v
verilog/sseg_ctrl.v
verilog/state_machine.v
verilog/time_out_ctrl.v

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com